Multizone Chamber Patents (Class 118/719)
  • Patent number: 11827970
    Abstract: Some implementations described herein provide a shutter disc for use during a conditioning process within a processing chamber of a deposition tool. The shutter disc described herein includes a material having a wave-shaped section to reduce heat transfer to the shutter disc and to provide relief from thermal stresses. Furthermore, the shutter disc includes a deposition of a thin-film material on a backside of the shutter disc, where a diameter of the shutter disc causes a spacing between an inner edge of the thin-film material and an outer edge of a substrate support component. The spacing prevents an accumulation of material between the thin film material and the substrate support component, reduces tilting of the shutter disc due to a placement error, and reduces heat transfer to the shutter disc.
    Type: Grant
    Filed: May 5, 2022
    Date of Patent: November 28, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Lin Wang, Chin-Szu Lee, Hua-Sheng Chiu, Yi-Chao Chang, Zih-Shou Mue
  • Patent number: 11767589
    Abstract: A substrate processing device with improved exhaust efficiency and process reproducibility includes: a plurality of reactors; a plurality of exhaust ports in communication with the plurality of reactors and symmetrically arranged with respect to the reactors, respectively; and a plurality of exhaust channels in communication with the plurality of exhaust ports, wherein each exhaust channel includes a plurality of exhaust channels including a first channel extending in the first direction and a second channel extending in a second direction different from the first direction, wherein the plurality of exhaust channels extend through components supporting at least a portion of the plurality of reactors.
    Type: Grant
    Filed: May 26, 2021
    Date of Patent: September 26, 2023
    Assignee: ASM IP Holding B.V.
    Inventor: JaeMin Roh
  • Patent number: 11746420
    Abstract: An apparatus for depositing film stacks in-situ (i.e., without a vacuum break or air exposure) are described. In one example, an apparatus configured to deposit a plurality of film layers having different compositions on a substrate without exposing the substrate to a vacuum break between film deposition phases, is provided. The apparatus includes a process chamber, a plasma source and a process station reactant feed fluidically coupled to a gas inlet of the process station, and fluidically coupled to an inert gas delivery line, a first reactant mixture gas delivery line and a second reactant mixture gas delivery line such that the first reactant gas mixture and the second reactant gas mixture can be introduced sequentially into the process station reactant feed, and supplied via a shared path to the process station.
    Type: Grant
    Filed: December 28, 2018
    Date of Patent: September 5, 2023
    Assignee: Novellus Systems, Inc.
    Inventors: Pramod Subramonium, Joseph L. Womack, Dong Niu, Keith Fox
  • Patent number: 11731354
    Abstract: A system and a method for creating prosthetics using a prosthetic machine are disclosed. The method includes creating a three dimensional (3D) shape of a prosthetic using a software. Successively, the 3D shape is sent for printing based at least on an availability of a printer. The printing is achieved by taking the 3D shape and slicing into very thin horizontal slices and thereafter placing a slice upon a slice for the 3D shape. Further, granular polycarbonate material is placed in a cartridge at the top of a compression head. Further, granules are fed through a four stage heating and compression process. Further, a heated plastic is pressurized and forced through an extruder to extrude in a 1 mm×4 mm ribbon. Thereafter, a printed object is formed on a base plate through motion that is controlled by a plurality of linear axes and a rotary axis.
    Type: Grant
    Filed: May 25, 2021
    Date of Patent: August 22, 2023
    Assignee: Medico Supplies, Inc.
    Inventor: Terrance Bloom
  • Patent number: 11721564
    Abstract: A substrate processing system includes a first substrate processing chamber, a first substrate transfer chamber connected to the first substrate processing chamber, a second substrate processing chamber, and a second substrate transfer chamber connected to the second substrate processing chamber. The substrate processing system further includes a buffer chamber connected between the first substrate transfer chamber and the second substrate transfer chamber, the buffer chamber having at least one substrate holder. At least a part of the buffer chamber and at least one of the first substrate transfer chamber or the second substrate transfer chamber are vertically overlapped with each other.
    Type: Grant
    Filed: April 7, 2020
    Date of Patent: August 8, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Toshiaki Toyomaki
  • Patent number: 11662132
    Abstract: The present disclosure provides cryogenic storage systems and methods of using the cryogenic storage systems. A cryogenic storage system of the present disclosure may comprise a cryogenic tank with an inner door and an outer door, and a robot apparatus located adjacent to the cryogenic tank. The cryogenic tank may store multiple racks such that at most a single rack is removable through the inner door or the outer door. The cryogenic tank may store the multiple racks in multiple groups of racks comprising a first group of racks located at a first radial distance and a second group of racks located at a second radial distance that is greater than the first radial distance. The robot apparatus may selectively open and close the inner or outer doors, and insert or withdraw the single rack into or out of the cryogenic tank through the inner door or the outer door.
    Type: Grant
    Filed: January 28, 2022
    Date of Patent: May 30, 2023
    Assignee: Celltrio, Inc.
    Inventors: Jin-Oh Kim, Jin Seok Han, Seung Wook Na, Soo-Mi Chung, Ji-Wan Kim, Jeffry Baird, Raphael Kim
  • Patent number: 11542632
    Abstract: A method of making 2D material such as graphene includes introducing a purge gas into a gas confining space within a reaction chamber to purge the gas confining space of oxygen; introducing a donor gas into the gas confining space within the reaction chamber; moving a forming layer within the gas confining space within the reaction chamber when the donor gas is within the gas confining space; and heating the forming layer within the gas confining space to a temperature sufficient to form 2D material while the gas confining space is open to a surrounding atmosphere.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: January 3, 2023
    Assignee: General Graphene Corp.
    Inventors: James Vig Sherrill, Gregory E. Erickson
  • Patent number: 11495480
    Abstract: A substrate processing system installed on a floor face is provided. The substrate processing system includes a substrate transfer module, a supporting table including a top plate disposed separately from the floor face, a plurality of substrate processing modules disposed on the top plate and coupled to the substrate transfer module along a lateral side of the substrate transfer module, and a plurality of power units disposed below the top plate. Further, the plurality of power units correspond to the plurality of substrate processing modules, respectively, and each of the power units is configured to supply electric power to the corresponding processing module.
    Type: Grant
    Filed: August 20, 2020
    Date of Patent: November 8, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Suguru Motegi, Takashi Kumagai, Akira Kodashima, Keisuke Yoshimura
  • Patent number: 11476140
    Abstract: A substrate accommodating unit is disposed adjacent to each of consecutively arranged vacuum transfer units. The substrate accommodating unit includes a hollow housing having, on one sidewall in an arrangement direction of the vacuum transfer units, a loading/unloading port for loading/unloading a substrate into/from the adjacent vacuum transfer unit, a vertically movable partition member disposed in the housing, and a driving mechanism for vertically moving the partition member. When an inner space of the housing is divided horizontally into a first space on a loading/unloading port side and a second space on an opposite side of the loading/unloading port side, the partition member is vertically moved from a state where the first space and the second space communicate with each other to thereby airtightly separate the first space and the second space with the partition member.
    Type: Grant
    Filed: October 29, 2020
    Date of Patent: October 18, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takuya Umise
  • Patent number: 11462425
    Abstract: A semiconductor processing station includes first and second chambers, and a cooling stage. The second chamber includes a cooling pipe disposed inside the second chamber, and an external pipe. The cooling pipe includes a first segment disposed along a sidewall of the second chamber, and a second segment disposed perpendicular to the first segment and located above a wafer carrier in the second chamber. An end of the second segment is connected to an end of the first segment. The external pipe is connected to the second segment distal from the end of the second segment to provide a fluid to flow through the cooling pipe from an exterior to an interior of the second chamber. The fluid discharges toward the wafer carrier through the first segment. The first chamber is surrounded by the second chamber and the cooling stage, and communicates between the cooling stage and the second chamber.
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: October 4, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Wei Lu, Hon-Lin Huang, Hung-Chih Wang
  • Patent number: 11456198
    Abstract: An apparatus for treating a substrate includes an index module and a treatment module that treats the substrate. The index module includes a load port on which a carrier having a plurality of substrates received therein is loaded and a transfer frame that is disposed between the treatment module and the load port and that transfers the substrate between the carrier loaded on the load port and the treatment module. The treatment module includes one or more process chambers and a transfer chamber that transfers the substrate to the process chambers. The transfer chamber includes a housing having a transfer space in which the substrate is transferred, a transfer robot that is disposed in the housing and that transfers the substrate between the process chambers, and an electrostatic pad that is provided in the transfer space and that electro-statically attracts particles in the housing.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: September 27, 2022
    Assignee: SEMES CO., LTD.
    Inventors: Moon Hyung Bae, Min Jung Park
  • Patent number: 11450549
    Abstract: A substrate transfer apparatus includes: a body including a first surface to which a semiconductor substrate is suctioned and a second surface opposing the first surface, the first surface including a cavity disposed in a center region of the body and an attaching unit disposed on an edge of the body so as to surround the cavity and form negative pressure to suction the semiconductor substrate, and a connector connected to the second surface of the body and supporting the body, wherein the cavity includes a lower surface with at least one through hole penetrating the first and second surfaces of the body and connecting the cavity to an external space, and the cavity includes a side surface inclined at an angle of 2.9° to 5° with respect to the first surface at the edge of the body.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: September 20, 2022
    Inventors: Heeseok Nho, Sangil Choi, Seonggi Jeon, Bongsu Cho
  • Patent number: 11450539
    Abstract: Electronic device processing systems including environmental control of the factory interface are described. One electronic device processing system has a factory interface having a factory interface chamber, a load lock apparatus coupled to the factory interface, one or more substrate carriers coupled to the factory interface, and an environmental control system coupled to the factory interface and operational to monitor or control one of: relative humidity, temperature, an amount of oxygen, or an amount of inert gas within the factory interface chamber. In another aspect, purge of a carrier purge chamber within the factory interface chamber is provided. Methods for processing substrates are described, as are numerous other aspects.
    Type: Grant
    Filed: August 24, 2018
    Date of Patent: September 20, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Sushant S. Koshti, Dean C. Hruzek, Ayan Majumdar, John C. Menk, Helder T. Lee, Sangram Patil, Sanjay Rajaram, Douglas B. Baumgarten, Nir Merry
  • Patent number: 11443953
    Abstract: A processing method includes receiving a substrate containing a base layer having a mandrel pattern formed thereon containing a number of features, conformally depositing a silicon oxide film over the mandrel pattern by coating surfaces of the substrate with a metal-containing catalyst layer, and in the absence of any oxidizing and hydrolyzing agent, exposing the substrate to a process gas containing a silanol gas at a substrate temperature selected to yield a preferred level of stress in the silicon oxide film. The method further includes removing the silicon oxide film from upper surfaces of the mandrel pattern and lower surfaces adjacent the mandrel pattern to leave behind silicon oxide sidewall spacers on sidewalls of the mandrel pattern, and removing the mandrel pattern from the substrate to leave behind the silicon oxide sidewall spacers that form a new pattern having double the number of features of the removed mandrel pattern.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: September 13, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara N. Tapily, Anton deVilliers, Gerrit J. Leusink
  • Patent number: 11421321
    Abstract: In accordance with some embodiments herein, apparatuses for deposition of thin films are provided. In some embodiments, a plurality of stations is provided, in which each station provides a different reactant or combination of reactants. The stations can be in gas isolation from each other so as to minimize or prevent undesired chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) reactions between the different reactants or combinations of reactants.
    Type: Grant
    Filed: July 28, 2015
    Date of Patent: August 23, 2022
    Assignee: ASM IP HOLDING B.V.
    Inventors: Jun Kawahara, Suvi Haukka, Antti Niskanen, Eva Tois, Raija Matero, Hidemi Suemori, Jaakko Anttila, Yukihiro Mori
  • Patent number: 11414748
    Abstract: A processing system is provided, including a vacuum enclosure having a plurality of process windows and a continuous track positioned therein; a plurality of processing chambers attached sidewalls of the vacuum enclosures, each processing chamber about one of the process windows; a loadlock attached at one end of the vacuum enclosure and having a loading track positioned therein; at least one gate valve separating the loadlock from the vacuum enclosure; a plurality of substrate carriers configured to travel on the continuous track and the loading track; at least one track exchanger positioned within the vacuum enclosure, the track exchangers movable between a first position, wherein substrate carriers are made to continuously move on the continuous track, and a second position wherein the substrate carriers are made to transfer between the continuous track and the loading track.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: August 16, 2022
    Assignee: INTEVAC, INC.
    Inventor: Terry Bluck
  • Patent number: 11380564
    Abstract: An embodiment is a processing system for processing a substrate. The processing system includes a Front Opening Unified Pod (FOUP) load lock (FLL) and a vacuum system. The FLL has walls defining an interior space therein. The FLL includes load lock isolation and tunnel isolation doors. The load lock isolation door is operable to close a first opening in a first sidewall of the FLL. The first opening is sized so that a FOUP is capable of passing therethrough. The tunnel isolation door is operable to close a second opening in a second sidewall of the FLL. The second opening is sized so that a substrate is capable of passing therethrough. The vacuum system is fluidly connected to the interior space of the FLL and is operable to pump down a pressure of the interior space of the FLL.
    Type: Grant
    Filed: August 20, 2019
    Date of Patent: July 5, 2022
    Assignee: Applied Materials, Inc.
    Inventor: Jacob Newman
  • Patent number: 11359280
    Abstract: An apparatus for processing or curing a substrate, the apparatus comprising: a support (102) arranged to transport a moving flexible substrate (104), a plasma generator (110) arranged to generate plasma (112), a magnet array (114) arranged to spatially define the plasma, wherein the magnet array comprises: a first elongate magnet (404) having a first polarity; a second elongate magnet (406), substantially parallel to the first elongate magnet, having a second polarity, opposite to the first polarity, such that the first and second elongate magnets define a first straight magnetic flux portion (204); a third elongate magnet (408), substantially parallel to the first elongate magnet, having the first polarity, such that the second and third elongate magnets define a second straight magnetic flux portion, connected to the first straight magnetic flux portion by a first curved magnetic flux portion (206); a fourth elongate magnet (410), substantially parallel to the first elongate magnet, having the second polari
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: June 14, 2022
    Assignee: CAMVAC LIMITED
    Inventors: Alexander John Topping, James Tiw Shipman, Robert William Jarman
  • Patent number: 11361950
    Abstract: Embodiments of a process kits for use in a process chamber are provided herein. In some embodiments, a process kit for use in a multi-cathode processing chamber includes: a first rotatable shield coupled to a first shaft, wherein the first rotatable shield includes a base, a conical portion extending downward and radially outward from the base, and one or more holes formed through the conical portion, wherein no two holes of the one or more holes are diametrically opposed; and a second rotatable shield coupled to a second shaft concentric with the first shaft, wherein the second rotatable shield is disposed in the first rotatable shield, and wherein the first rotatable shield is configured to rotate independent of the first rotatable shield.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: June 14, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: John Joseph Mazzocco, Cory Eugene Lafollett
  • Patent number: 11352711
    Abstract: Cleaning substrates or electroplating system components may include methods of rinsing a substrate at a semiconductor plating chamber. The methods may include moving a head from a plating bath to a first position. The head may include a substrate coupled with the head. The methods may include rotating the head for a first period of time to sling bath fluid back into the plating bath. A residual amount of bath fluid may remain. The methods may include delivering a first fluid to the substrate from a first fluid nozzle to at least partially expel the residual amount of bath fluid back into the plating bath. The methods may include moving the head to a second position. The methods may include rotating the head for a second period of time. The methods may also include delivering a second fluid across the substrate from a second fluid nozzle.
    Type: Grant
    Filed: July 16, 2019
    Date of Patent: June 7, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Sam Lee, Kyle M. Hanson, Eric J. Bergman
  • Patent number: 11348790
    Abstract: An apparatus for wafer bonding includes a transfer module and a plasma module. The transfer module is configured to transfer a semiconductor wafer. The plasma module is configured to apply a first type of plasma to perform a reduction operation upon a surface of the semiconductor wafer at a temperature within a predetermined temperature range to convert metal oxides on the surface of the semiconductor wafer to metal, and apply a second type of plasma to perform a plasma operation upon the surface of the semiconductor wafer at a room temperature outside the predetermined temperature range to activate a surface of the semiconductor wafer.
    Type: Grant
    Filed: April 27, 2020
    Date of Patent: May 31, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yeong-Jyh Lin, Yeur-Luen Tu, Chin-Wei Liang
  • Patent number: 11338319
    Abstract: A method of forming a material layer on a substrate comprises loading a substrate into a printing zone of a coating system using a substrate handler, printing an organic ink material on a substrate while the substrate is located in the printing zone, transferring the substrate from the printing zone to a treatment zone of the coating system, treating the organic ink material deposited on the substrate in the treatment zone to form a film layer on the substrate, and removing the substrate from the treatment zone using the substrate handler.
    Type: Grant
    Filed: August 28, 2019
    Date of Patent: May 24, 2022
    Assignee: KATEEVA, INC.
    Inventors: Alexander Sou-Kang Ko, Justin Mauck, Eliyahu Vronsky, Conor F. Madigan, Eugene Rabinovich, Nahid Harjee, Christopher Buchner, Gregory Lewis
  • Patent number: 11333419
    Abstract: The present disclosure provides cryogenic storage systems and methods of using the cryogenic storage systems. A cryogenic storage system of the present disclosure may comprise a cryogenic tank with an inner door and an outer door, and a robot apparatus located adjacent to the cryogenic tank. The cryogenic tank may store multiple racks such that at most a single rack is removable through the inner door or the outer door. The cryogenic tank may store the multiple racks in multiple groups of racks comprising a first group of racks located at a first radial distance and a second group of racks located at a second radial distance that is greater than the first radial distance. The robot apparatus may selectively open and close the inner or outer doors, and insert or withdraw the single rack into or out of the cryogenic tank through the inner door or the outer door.
    Type: Grant
    Filed: February 8, 2021
    Date of Patent: May 17, 2022
    Assignee: Celltrio, Inc.
    Inventors: Jin-Oh Kim, Jin Seok Han, Seung Wook Na, Soo-Mi Chung, Ji-Wan Kim, Jeffry Baird, Raphael Kim
  • Patent number: 11302552
    Abstract: A multiple transport carrier docking device may be capable of storing and/or staging a plurality of transport carriers in a chamber of the multiple transport carrier docking device, and may be capable of forming an air-tight seal around a transport carrier in the chamber. Semiconductor wafers in the transport carrier may be accessed by a wafer transport tool while the air-tight seal around the transport carrier prevents and/or reduces the likelihood that contaminants in the semiconductor fabrication facility will reach the semiconductor wafers. The air-tight seal around the transport carrier may reduce defects of the semiconductor wafers that might otherwise be caused by the contaminants, may increase manufacturing yield and quality in the semiconductor fabrication facility, and/or may permit the continued reduction in device and/or feature sizes of integrated circuits and/or semiconductor devices that are to be formed on semiconductor wafers.
    Type: Grant
    Filed: January 7, 2021
    Date of Patent: April 12, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hung Huang, Cheng-Lung Wu, Yang-Ann Chu, Hsuan Lee, Jiun-Rong Pai
  • Patent number: 11302550
    Abstract: In a transfer method used in a substrate processing apparatus including a vacuum transfer chamber and a first and a second processing chamber and a preliminary chamber connected to the vacuum transfer chamber, a first and a second processing chamber are heated such that a temperature of the first processing chamber becomes lower than a temperature of the second processing chamber. A processed substrate is transferred from the first processing chamber to the second processing chamber and an unprocessed substrate is transferred from the preliminary chamber to the first processing chamber using a substrate transfer device disposed in the vacuum transfer chamber. Further, the transfer of the processed substrate and the transfer of the unprocessed substrate are repeatedly executed for each of substrates, and the transfer of the unprocessed substrate is executed when no substrate is mounted in the first processing chamber.
    Type: Grant
    Filed: September 24, 2019
    Date of Patent: April 12, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Kawamura, Keiji Osada
  • Patent number: 11273605
    Abstract: The invention relates to a method and a device for protecting a print head (100) during layer-by-layer manufacture of a shaped part (103) using the binder jetting process, in which a plurality of elements required for preserving print head function act, and are housed, in a space which is partially sealed off by a gap seal (800).
    Type: Grant
    Filed: November 8, 2017
    Date of Patent: March 15, 2022
    Assignee: VOXELJET AG
    Inventors: Daniel Günther, Christian Wehrmann, Wolfgang Mühlbauer
  • Patent number: 11268185
    Abstract: The invention relates to a method and a system for the plasma treatment of successive substrates comprising one or more steel products in which the substrates are transported, one after another, through at least one plasma treatment zone, characterized in that the electric power for generating the plasma in the treatment zone is varied according to the area of the substrate is present in this treatment zone when the substrate is running through this zone.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: March 8, 2022
    Assignee: NEOVAC GMBH
    Inventor: Pierre Vandenbrande
  • Patent number: 11264258
    Abstract: Buffer chamber including robots, a carousel and at least one heating module for use with a batch processing chamber are described. Robot configurations for rapid and repeatable movement of wafers into and out of the buffer chamber and cluster tools incorporating the buffer chambers and robots are described.
    Type: Grant
    Filed: May 19, 2020
    Date of Patent: March 1, 2022
    Assignee: Applied Materials, Inc.
    Inventors: William T. Weaver, Jason M. Schaller, Robert Brent Vopat, David Blahnik, Benjamin B. Riordon, Paul E. Pergande
  • Patent number: 11255014
    Abstract: An apparatus for depositing a metal film on a surface of a three-dimensional object, includes a mounting drum rotatably disposed inside a chamber and having a circumferential surface onto which a plurality of three-dimensional objects is settled and mounted making each surface thereof to be subjected to deposition be exposed to an outside; and at least one source target depositing a metal film onto the surface of the three-dimensional object mounted to the mounting drum by sputtering.
    Type: Grant
    Filed: September 9, 2019
    Date of Patent: February 22, 2022
    Assignee: TETOS Co., Ltd.
    Inventor: Kun Ho Song
  • Patent number: 11257706
    Abstract: Embodiments of apparatus for flipping a semiconductor device and method of using the same are disclosed. In an example, an apparatus for flipping a semiconductor device includes at least one fixture and a rotation unit connected to the at least one fixture. The at least one fixture is configured to hold the semiconductor device by simultaneously pressing a first surface and a second surface of the semiconductor device. The first surface is opposite to the second surface. The rotation unit is configured to rotate the at least one fixture to flip the semiconductor device held by the at least one fixture.
    Type: Grant
    Filed: December 5, 2018
    Date of Patent: February 22, 2022
    Assignee: YANGTZE MEMORY TECHNOLOGIES CO., LTD.
    Inventors: Mengyong Liu, Tao Tao Ding, Wu Liu, Rui Yuan Xing, Guoliang Chen
  • Patent number: 11251056
    Abstract: A substrate processing system includes: first and second process tubes spaced apart from each other in a first axial direction to provide process spaces independent from each other; a substrate boat on which a plurality of substrates are multiply stacked and which is provided to each of process spaces of the first and second process tubes; and first and second boat elevation units provided to the first and second process tubes, respectively, to elevate the substrate boat, wherein each of the first and second boat elevation units includes an elevation shaft member disposed in a space between the first and second process tubes.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: February 15, 2022
    Inventors: Sung Ho Kang, Chang Dol Kim, Sang Young Oh, Gyu Ho Choi
  • Patent number: 11251067
    Abstract: Implementations described herein provide a pedestal lift assembly for a plasma processing chamber and a method for using the same. The pedestal lift assembly has a platen configured to couple a shaft of a pedestal disposed in the plasma processing chamber. An absolute linear encoder is coupled to a fixed frame wherein the absolute linear encoder is configured to detect incremental movement of the platen. A lift rod is attached to the platen. A motor rotor encoder brake module (MRBEM) is coupled to the fixed frame and moveably coupled to the lift rod, the motor encoder brake module configured to move the lift rod in a first direction and a second direction, wherein the movement of the lift rod results in the platen traveling vertically relative to the fixed frame.
    Type: Grant
    Filed: April 26, 2019
    Date of Patent: February 15, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Brian T. West, Miroslav Gelo, Yan Rozenzon, Roger M. Johnson, Mark Covington, Soundarrajan Jembulingam, Simon Nicholas Binns, Vivek Vinit
  • Patent number: 11242592
    Abstract: A continuous nitriding treatment furnace includes a nitriding chamber, a heater, a first nitriding zone, and a second nitriding zone lower in atmosphere gas temperature than the first nitriding zone by 25° C. to 150° C., the continuous nitriding treatment furnace being configured such that an atmosphere gas in the first nitriding zone flows into the second nitriding zone and being configured to execute a nitriding treatment that forms an iron nitride compound layer composed of an ? phase or of the ? phase and a ?? phase on a surface of the steel member in the first nitriding zone and precipitates the ?? phase in the iron nitride compound layer in the second nitriding zone.
    Type: Grant
    Filed: September 27, 2017
    Date of Patent: February 8, 2022
    Assignee: DOWA THERMOTECH CO., LTD.
    Inventors: Katsushige Shimizu, Hokuto Hatanaka, Bin Sun, Masakazu Kawahara
  • Patent number: 11220747
    Abstract: Apparatus and methods to process one or more wafers are described. A first processing station has a first gas flow pattern from one or more of a first gas diffuser, a first cooling channel pattern, or a first heater. A second processing station has a second gas flow pattern from one or more of a second gas diffuser, a second cooling channel pattern, or a second heater. The second gas diffuser, the second cooling channel pattern, or the second heater is rotated or translated relative to the first gas diffuser, the first cooling channel pattern, or the first heater to provide the second gas flow pattern complementary to the first gas flow pattern.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: January 11, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Joseph AuBuchon, Sanjeev Baluja, Michael Rice, Arkaprava Dan, Hanhong Chen
  • Patent number: 11222771
    Abstract: Gas distribution assemblies are described including a first plate and a second plate. The first plate may define a plurality of first apertures, and the second plate may define a plurality of second apertures in a first region of the second plate and a plurality of third apertures in a second region of the second plate. The second apertures may align with the first apertures. An area defined by the second region may be less than an area defined by the first region. The second plate may be sealingly coupled with the first plate to define a volume between the first plate and the second plate. The volume may be fluidly accessible from the third apertures, and fluidly isolated from the first and second apertures.
    Type: Grant
    Filed: February 5, 2019
    Date of Patent: January 11, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Qiwei Liang, Rohit Sharma, Jingyu Qiao
  • Patent number: 11219096
    Abstract: Described herein is a technique capable of suppressing adhesion of by-products to a furnace opening portion. A substrate processing apparatus includes: a reaction vessel having an opening at a lower end and accommodating a substrate retainer; a shaft rotatably supporting the substrate retainer; a cap including: a side surface portion having a predetermined gap with an inner surface of the reaction vessel; a cylindrical portion through which the shaft is inserted; an upper plate portion of an annular shape; and a flange connected to a lower end of the side surface portion; and a cap cover connected to the shaft above the upper end of the cylindrical portion. A purge gas from thereunder flows sequentially to a space between the shaft and the cylindrical portion, a space between the upper plate portion and the cap cover and a space between the side surface portion and the cap cover.
    Type: Grant
    Filed: December 4, 2019
    Date of Patent: January 4, 2022
    Assignee: Kokusai Electric Corporation
    Inventor: Shuhei Saido
  • Patent number: 11208724
    Abstract: A film forming apparatus includes a mounting table on which a substrate is mounted; a ceiling plate facing the mounting table, the ceiling plate defining a processing space between the ceiling plate and mounting table; and a gas supply mechanism configured to supply a source gas to the processing space horizontally with respect to the substrate. A facing surface of the ceiling plate or a facing surface of the mounting table is inclined such that a gap between the facing surfaces of the mounting table and the ceiling plate becomes wider at a downstream side than at an upstream side in a flow direction of the source gas.
    Type: Grant
    Filed: May 7, 2018
    Date of Patent: December 28, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Kazuki Dempoh
  • Patent number: 11177147
    Abstract: A substrate treatment apparatus includes a plurality of treatment chambers performing different treatment types on a substrate; a transfer device; and a controller that controls the transfer of the substrate and the substrate treatment. The controller enables fixation of a time for pulling up the substrate for each treatment chambers/treatment type and creation of a transfer schedule for transferring the substrate among the plurality of treatment chambers/treatment types and treating the substrate so as to maximize throughput, and enables correction of the transfer schedule to extend, based on a waiting time of the transfer device after storage of the substrate into a treatment chamber of one treatment type and a waiting time of the treatment chamber after treatment of the substrate, a time required for pulling up the substrate from a treatment chamber of an immediately previous treatment type in transfer order of the substrate.
    Type: Grant
    Filed: January 11, 2019
    Date of Patent: November 16, 2021
    Assignee: EBARA CORPORATION
    Inventor: Takashi Mitsuya
  • Patent number: 11177143
    Abstract: There is provided a technique that includes: a transfer chamber configured to transfer a substrate; at least two process chambers configured to process the substrate that is transferred from the transfer chamber by heating the substrate with a microwave generated from a heating device; and a cooling chamber spatially connected to the transfer chamber and disposed on a side wall of the transfer chamber between the at least two process chambers at an equal distance from the at least two process chambers, the cooling chamber including a first gas supplier configured to supply a purge gas that purges an internal atmosphere at a first gas flow rate and a first exhauster including an exhaust pipe configured to exhaust the purge gas, and the cooling chamber configured to cool the substrate heated by the microwave using the purge gas.
    Type: Grant
    Filed: February 20, 2020
    Date of Patent: November 16, 2021
    Assignee: Kokusai Electric Corporation
    Inventors: Yukitomo Hirochi, Takashi Nogami, Yoshihiko Yanagisawa
  • Patent number: 11171004
    Abstract: There is provided a film forming method including: forming an Al-containing film on a base in a depressurized state; and subsequently, forming an initial tungsten film on the Al-containing film by alternately supplying a B2H6 gas and a WF6 gas in a repetitive manner in the depressurized state without exposing the Al-containing film to an atmosphere while performing a purge process between the supply of the B2H6 gas and the supply of the WF6 gas.
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: November 9, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takashi Sameshima, Koji Maekawa, Katsumasa Yamaguchi
  • Patent number: 11168395
    Abstract: A flange, flange assembly, and reactor system including the flange and flange assembly are disclosed. An exemplary flange assembly includes heated and cooled sections to independently control temperatures of sections of the flange. Methods of using the flange, flange assembly and reactor system are also disclosed.
    Type: Grant
    Filed: March 11, 2020
    Date of Patent: November 9, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Sonti Sreeram, John Tolle, Joe Margetis, Junwei Su
  • Patent number: 11145517
    Abstract: The present disclosure relates to a semiconductor device manufacturing system. The semiconductor device manufacturing system can include a chamber, a slit valve configured to provide access to the chamber, a chuck disposed in the chamber and configured to hold a substrate, and a gas curtain device disposed between the chuck and the slit valve and configured to flow an inert gas to form a gas curtain. An example benefit of the gas curtain is to block an inflow of oxygen or moisture from entering the chamber to ensure a yield and reliability of the semiconductor manufacturing processes conducted in the chamber.
    Type: Grant
    Filed: October 11, 2019
    Date of Patent: October 12, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kent Lin
  • Patent number: 11133208
    Abstract: An EFEM includes first and second chambers, an airflow formation unit, and a gas discharge port. The first chamber includes a dry air introduction port. The second chamber is connected with a lower part of the first chamber and includes an openable door. The airflow formation unit produces a circulating airflow between the first and second chambers. The gas discharge port discharges a gas of the second chamber therefrom. The first and second chambers are connected via first and second communication sections. In the first communication section, a filter is disposed, and an airflow from the first chamber to the second chamber is generated. In the second communication section, a ventilation state is changed by a ventilation state switchable unit, and an airflow from the second chamber to the first chamber is generated.
    Type: Grant
    Filed: May 29, 2018
    Date of Patent: September 28, 2021
    Assignee: TDK CORPORATION
    Inventor: Tsutomu Okabe
  • Patent number: 11120972
    Abstract: The present disclosure relates to an elementary device for producing a plasma. The elementary device includes a coaxial applicator of microwave power that includes a conductive central core, a conductive external shield surrounding the central core, a medium located between the central core and the shield to propagate microwave energy, and an insulating body. The elementary device further includes a system to couple to a microwave generator and is disposed at the shield. The shield has a proximal end plugged with the insulating body made of dielectric material that is transparent to the microwave energy. The insulating body has an external surface configured to contact and excite a gas located in the interior of a chamber. The insulating body extends exterior wise from the shield and its external surface is nonplanar and protrudes from the shield. The outside diameter of the body decreases from the shield to its tip.
    Type: Grant
    Filed: April 5, 2018
    Date of Patent: September 14, 2021
    Assignee: SAIREM SOCIETE POUR L'APPLICATION INDUSTRIELLE DE LA RECHERCHE EN ELECTRONIQUE ET MICRO ONDES
    Inventors: Louis Latrasse, Marilena Radoiu
  • Patent number: 11111580
    Abstract: The present disclosure relates to an apparatus for processing a substrate, and more particularly, to an apparatus for processing a substrate, which is capable of allowing a substrate processing gas to smoothly flow on the substrate. The apparatus for processing the substrate in accordance an exemplary embodiment may form a laminar flow through a gas supply unit disposed on one side of an inner reaction tube and an exhaust duct disposed on the other side of the inner reaction tube, which faces the gas supply unit, to extend up to the outside of an accommodation region of a pedestal in an accommodation space of the inner reaction tube and control a flow of a substrate processing gas supplied onto the substrate.
    Type: Grant
    Filed: May 7, 2018
    Date of Patent: September 7, 2021
    Inventors: Sung Ho Kang, Chang Dol Kim, Sang Don Lee
  • Patent number: 11104988
    Abstract: Embodiments of the disclosed subject matter provide a vapor distribution manifold that ejects organic vapor laden gas into a chamber and withdraws chamber gas, where vapor ejected from the manifold is incident on, and condenses onto, a deposition surface within the chamber that moves relative to one or more print heads in a direction orthogonal to a platen normal and a linear extent of the manifold. The volumetric flow of gas withdrawn by the manifold from the chamber may be greater than the volumetric flow of gas injected into the chamber by the manifold. The net outflow of gas from the chamber through the manifold may prevent organic vapor from diffusing beyond the extent of the gap between the manifold and deposition surface. The manifold may be configured so that long axes of delivery and exhaust apertures are perpendicular to a print direction.
    Type: Grant
    Filed: January 23, 2019
    Date of Patent: August 31, 2021
    Assignee: Universal Display Corporation
    Inventors: William E. Quinn, Gregory McGraw, Matthew King, Gregg Kottas
  • Patent number: 11101136
    Abstract: Embodiments of the present technology may include a method of etching. The method may include mixing plasma effluents with a gas in a first section of a chamber to form a first mixture. The method may also include flowing the first mixture to a substrate in a second section of the chamber. The first section and the second section may include nickel plated material. The method may further include reacting the first mixture with the substrate to etch a first layer selectively over a second layer. In addition, the method may include forming a second mixture including products from reacting the first mixture with the substrate.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: August 24, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Dongqing Yang, Tien Fak Tan, Peter Hillman, Lala Zhu, Nitin K. Ingle, Dmitry Lubomirsky, Christopher Snedigar, Ming Xia
  • Patent number: 11072856
    Abstract: Provided is a vapor phase growth method according to an embodiment including loading a first substrate into a reaction chamber, generating a first mixed gas by mixing an indium containing gas, an aluminum containing gas, and a nitrogen compound containing gas, and forming a first indium aluminum nitride film on the first substrate by supplying the first mixed gas into the reaction chamber, the first substrate being rotated at a first rotation speed of 300 rpm or more.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: July 27, 2021
    Assignee: NuFlare Technology, Inc.
    Inventors: Masayuki Tsukui, Hajime Nago, Yasushi Iyechika
  • Patent number: 11066747
    Abstract: Implementations described herein relate to apparatus and methods for self-assembled monolayer (SAM) deposition. Apparatus described herein includes processing chambers having various vapor phase delivery apparatus fluidly coupled thereto. SAM precursors may be delivered to process volumes of the chambers via various apparatus which is heated to maintain the precursors in vapor phase. In one implementation, a first ampoule or vaporizer configured to deliver a SAM precursor may be fluidly coupled to the process volume of a process chamber. A second ampoule or vaporizer configured to deliver a material different from the SAM precursor may also be fluidly coupled to the process volume of the process chamber.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: July 20, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Qiwei Liang, Adib Khan, Tobin Kaufman-Osborn, Srinivas D. Nemani, Ludovic Godet
  • Patent number: 11031215
    Abstract: A processing chamber such as a plasma etch chamber can perform deposition and etch operations, where byproducts of the deposition and etch operations can build up in a vacuum pump system fluidly coupled to the processing chamber. A vacuum pump system may have multiple roughing pumps so that etch gases can be diverted a roughing pump and deposition precursors can be diverted to another roughing pump. A divert line may route unused deposition precursors through a separate roughing pump. Deposition byproducts can be prevented from forming by incorporating one or more gas ejectors or venturi pumps at an outlet of a primary pump in a vacuum pump system. Cleaning operations, such as waferless automated cleaning operations, using certain clean chemistries may remove deposition byproducts before or after etch operations.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: June 8, 2021
    Assignee: Lam Research Corporation
    Inventors: John Stephen Drewery, Tom A. Kamp, Haoquan Yan, John Edward Daugherty, Ali Sucipto Tan, Ming-Kuei Tseng, Bruce Edmund Freeman