Multizone Chamber Patents (Class 118/719)
  • Patent number: 10513777
    Abstract: A processing chamber accommodating a mounting table includes a first region and a second region. As the mounting table rotates, a substrate mounting region of the mounting table moves in a circumferential direction around the axis to pass through the first region and the second region. A first gas supply unit supplies a precursor gas to the first region from an injection unit disposed to face the mounting table. An exhaust outlet exhausts an exhaust port formed to extend along a closed path surrounding the exhaust outlet. A second gas supply unit supplies purge gas from an injection port formed to extend along a closed path surrounding the exhaust port. A plasma generation unit generates plasma from a reaction gas in the second region. An angular range of the second region is larger than an angular range of the first region.
    Type: Grant
    Filed: February 12, 2013
    Date of Patent: December 24, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masahide Iwasaki, Satoshi Yonekura, Toshihiko Iwao
  • Patent number: 10508338
    Abstract: A device for atomic layer deposition includes: an injector installed to an opening of a film deposition chamber; and an injector adhesion preventive member installed by insertion into the opening, wherein the injector includes an injector raw material gas supply path, an injector reactant gas supply path, and an injector inert gas supply path, the respective paths being partitioned from each other, the injector adhesion preventive member includes an adhesion preventive member raw material gas supply path, an adhesion preventive member reactant gas supply path, and an adhesion preventive member inert gas supply path, the respective paths being partitioned from each other, and the adhesion preventive member inert gas supply path is provided such that the inert gas flows in a clearance between an outer peripheral side of the injector adhesion preventive member and an inner peripheral side of the opening.
    Type: Grant
    Filed: April 19, 2016
    Date of Patent: December 17, 2019
    Assignee: THE JAPAN STEEL WORKS, LTD.
    Inventors: Tatsuya Matsumoto, Keisuke Washio
  • Patent number: 10510573
    Abstract: A loading apparatus for processing a wafer cassette containing a plurality of wafers and an operating method thereof are provided. The operating method includes the following steps. The wafer cassette is loaded on a stage of the loading apparatus. The stage is configured to carry the wafer cassette and movably coupled to a main body of the loading apparatus to move within and out of a space of the main body. The stage is vertically moved among a standby position, a lifting position and an intermediate position; horizontally moved from the intermediate position to a door engaging position inside the space; positioned at the door engaging position, and a cassette door of the wafer cassette is opened. The stage is horizontally moved from the door engaging position to the intermediate position, and horizontally moved between the lifting position and an unloading position outside the space after opening the cassette door.
    Type: Grant
    Filed: January 26, 2018
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Sheng Kuo, Hsuan Lee, Hsu-Shui Liu, Jiun-Rong Pai, Chih-Hung Huang, Yang-Ann Chu
  • Patent number: 10504697
    Abstract: Embodiments of the present disclosure generally relate to an apparatus and method for reducing particle generation in a processing chamber. In one embodiment, an apparatus for processing a substrate is disclosed. The apparatus includes a chamber body, a lid assembly disposed above the chamber body, the lid assembly comprising a top electrode and a bottom electrode positioned substantially parallel to the top electrode, a gas distribution plate disposed between a substrate processing region and the lid assembly, and a substrate support disposed within the chamber body, the substrate support supporting having a substrate supporting surface, wherein the top electrode is in electrical communication with a radio frequency (RF) power supply and a DC bias modulation configuration, and the DC bias modulation configuration is configured to operate the top electrode at a constant zero DC bias voltage during a process.
    Type: Grant
    Filed: February 3, 2017
    Date of Patent: December 10, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Jonghoon Baek, Soonam Park, Xinglong Chen, Dmitry Lubomirsky
  • Patent number: 10497773
    Abstract: The present disclosure relates to a method of forming a MIM (metal-insulator-metal) capacitor using a post capacitor bottom metal (CBM) treatment process to reduce a roughness of a top surface of a capacitor bottom metal layer, and an associated apparatus. In some embodiments, the method is performed by forming a capacitor bottom metal layer having a first metal material over a semiconductor substrate. A top surface of the capacitor bottom metal layer is exposed to one or more post CBM treatment agents having oxygen. The one or more post CBM treatment agents reduce a roughness of the top surface and form an interface layer having the first metal material and oxygen onto and in direct contact with the top surface of the capacitor bottom metal layer. A capacitor dielectric layer is formed over the interface layer and a capacitor top metal layer is formed over the capacitor dielectric layer.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: December 3, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yao-Wen Chang, Hsing-Lien Lin, Cheng-Yuan Tsai, Chia-Shiung Tsai
  • Patent number: 10497543
    Abstract: A device for etching a substrate includes a first reaction chamber into which a first gas is introduced; a second reaction chamber into which a second gas is introduced; and a coil device that generates an electromagnetic alternating field. At least one first reactive species is generated by applying the electromagnetic alternating field to the first gas and at least one second reactive species is generated by applying the electromagnetic alternating field to the second gas. The device further includes a separating device that prevents a direct gas exchange between the first and second reaction chambers; an etching chamber configured to receive the substrate to be anisotropically etched; and a mixing device configured such that the reactive species enter the mixing device, are mixed together, and in the mixed state act on the substrate so as to anisotropically etch the substrate in the etching chamber.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: December 3, 2019
    Assignee: Robert Bosch GmbH
    Inventor: Franz Laermer
  • Patent number: 10453726
    Abstract: An electronic device manufacturing system includes a factory interface that has a load port. The load port may include a panel having an opening therein and a carrier door opener that seals the opening when the door is closed. The carrier door opener may have a groove along an outer portion of the door. The groove may have a cross-sectional shape of a triangular prism frustum. A hollow O-ring may be seated in the groove and is configured to engage the panel when the carrier door opener is closed against the panel. Methods of assembling a factory interface for an electronic device manufacturing system are also provided, as are other aspects.
    Type: Grant
    Filed: November 10, 2016
    Date of Patent: October 22, 2019
    Assignee: Applied Materials, Inc.
    Inventors: David T. Blahnik, Paul B. Reuter, Luke W. Bonecutter, Douglas B. Baumgarten
  • Patent number: 10446418
    Abstract: The present disclosure generally relates to process chambers having modular design to provide variable process volume and improved flow conductance and uniformity. The modular design according to the present disclosure achieves improved process uniformity and symmetry with simplified chamber structure. The modular design further affords flexibility of performing various processes or processing substrates of various sizes by replacing one or more modules in a modular process chamber according to the present disclosure.
    Type: Grant
    Filed: April 2, 2015
    Date of Patent: October 15, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Andrew Nguyen, Yogananda Sarode Vishwanath, Tom K. Cho
  • Patent number: 10435781
    Abstract: There is provided a substrate processing apparatus to perform a predetermined process on a substrate on which a pattern mask is formed, comprising a compartment mechanism configured to switch between a compartmented state and an open state. The compartmented state includes a first section having the evaporation source formation part, and a second section configured to transfer the substrate between an outside of processing vessel and a mounting table. The substrate processing apparatus comprises a substrate transfer hole formed in the processing vessel and configured to open and close with respect to the second section being in the compartmented state; and an exhaust hole formed to connect to the second section and configured to exhaust the second section in the compartment state to remove a solvent atmosphere of the second section.
    Type: Grant
    Filed: June 9, 2016
    Date of Patent: October 8, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinji Kobayashi
  • Patent number: 10424498
    Abstract: A transport apparatus including a robot drive; an arm having a first end connected to the robot drive; and at least one end effector connected to a second end of the arm. The arm includes at least three links connected in series to form the arm. The arm is configured to be moved by the robot drive to move the at least one end effector among load locks and two or more sets of opposing process modules.
    Type: Grant
    Filed: September 9, 2014
    Date of Patent: September 24, 2019
    Assignee: Persimmon Technologies Corporation
    Inventors: Christopher Hofmeister, Martin Hosek
  • Patent number: 10415138
    Abstract: A gas injector system is provided that allows for improved distribution and directional control of the vapor material in a CVD or CVI process. Gas injector systems may be used without experiencing significant clogging of gas injector tube apertures over multiple CVD procedures. Further, a gas injector system provided includes a dual aperture release system and/or allow vapor material to flow both substantially horizontally and substantially vertically.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: September 17, 2019
    Assignee: Goodrich Corporation
    Inventor: Vincent Fry
  • Patent number: 10418260
    Abstract: A system for fan out chip encapsulation processing is provided, wherein a plurality of microchips are encapsulated in molding compound, the system comprising: an atmospheric loading camber, configured to load substrates onto carriers in atmospheric environment; an entry loadlock arrangement configured to introduce the carriers into vacuum environment of the system; a degas chamber positioned downstream of the loadlock arrangement within the vacuum environment, the degas chamber comprising a heating element and a pumping arrangement to remove gases emitted from the molding compound; an etch chamber positioned downstream of the degas chamber and within the vacuum environment, the etch chamber comprising an ion beam generator and an ion neutralizer; a metal sputtering chamber positioned downstream of the etch chamber and inside the vacuum environment; and, an exit loadlock arrangement configured to remove carriers from the vacuum environment.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: September 17, 2019
    Assignee: INTEVAC, INC.
    Inventors: Terry Bluck, Terry Pederson, William Eugene Runstadler, Jr.
  • Patent number: 10403532
    Abstract: The present disclosure provides one embodiment of a semiconductor processing apparatus. The semiconductor processing apparatus includes a load lock designed to receive a wafer carrier; an inner wafer carrier buffer configured to hold the wafer carrier received from the load lock and to perform a nitrogen purge to the wafer carrier; and a processing module designed to perform a semiconductor process to wafers from the wafer.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: September 3, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jason Shen, Wen-Yu Huang, Li-Jen Ko, Hsiang Yin Shen
  • Patent number: 10403522
    Abstract: A heater or cooler chamber for a batch of more than one workpiece includes a heat storage block. In the block a multitude of pockets are provided, whereby each of the pockets may be closed or opened by a controllably operated door. A heater or cooler arrangement is applied. The pockets are tailored to surround a workpiece applied therein in a non-contact closely spaced manner.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: September 3, 2019
    Assignee: EVATEC AG
    Inventor: Jurgen Weichart
  • Patent number: 10403480
    Abstract: Methods and systems for depositing a thin film are disclosed. The methods and systems can be used to deposit a film having a uniform thickness on a substrate surface that has a non-planar three-dimensional geometry, such as a curved surface. The methods involve the use of a deposition source that has a shape in accordance with the non-planar three-dimensional geometry of the substrate surface. In some embodiments, multiple layers of films are deposited onto each other forming multi-layered coatings. In some embodiments, the multi-layered coatings are antireflective (AR) coatings for windows or lenses.
    Type: Grant
    Filed: June 7, 2017
    Date of Patent: September 3, 2019
    Assignee: Apple Inc.
    Inventor: Matthew S. Rogers
  • Patent number: 10392676
    Abstract: A heat treatment device includes: a heating device that heats a treatment object; a cooling device including a cooling room that accommodates the treatment object heated by the heating device and into which a cooling medium used for cooling the treatment object is supplied; a pressurized gas supplier that supplies pressurized gas into the cooling room; a pressure relief valve that communicates internal and external areas of the cooling room with each other when the pressure relief valve is opened; a pressure sensor that measures the pressure inside the cooling room; and a controller that controls the pressure relief valve such that the pressure relief valve is opened when a measurement result of the pressure sensor is higher than or equal to a threshold value.
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: August 27, 2019
    Assignees: IHI CORPORATION, IHI MACHINERY AND FURNACE CO., LTD.
    Inventors: Kazuhiko Katsumata, Kaoru Isomoto, Gen Nishitani, Akira Nakayama, Takahiro Nagata, Yuusuke Shimizu
  • Patent number: 10388547
    Abstract: Electronic device processing systems including side storage pods are described. One electronic device processing system has a side storage pod having a first chamber configured to receive a side storage container; a panel having a panel opening; the panel configured to be coupled between a side storage container and an equipment front end module; a side storage container received in the first chamber; and an exhaust conduit configured to be coupled to the side storage container received and extending to an exterior of the first chamber.
    Type: Grant
    Filed: June 23, 2017
    Date of Patent: August 20, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Devendra Channappa Holeyannavar, Sandesh Doddamane Ramappa, Dean C. Hruzek, Michael R. Rice, Jeffrey A. Brodine
  • Patent number: 10388546
    Abstract: Provided are methods and apparatus for ultraviolet (UV) assisted capillary condensation to form dielectric materials. In some embodiments, a UV driven reaction facilitates photo-polymerization of a liquid phase flowable material. Applications include high quality gap fill in high aspect ratio structures and por sealing of a porous solid dielectric film. According to various embodiments, single station and multi-station chambers configured for capillary condensation and UV exposure are provided.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: August 20, 2019
    Assignee: Lam Research Corporation
    Inventors: Jonathan D. Mohn, Nicholas Muga Ndiege, Patrick A. Van Cleemput, David Fang Wei Chen, Wenbo Liang, Shawn M. Hamilton
  • Patent number: 10385446
    Abstract: A group of inventions is related to process equipment to process surfaces in mass production, particularly, vacuum process equipment to apply thin film coatings with set optical, electrical and other parameters. The technical result is to ensure a capability of processing flexible large substrates, as well as small substrates with a high degree of coating uniformity, with an ability to utilize a wide range of technologies and process devices, as well as to have a highly effective useful operation of applied materials. The proposed technical result is obtained by a method of applying thin film coatings on substrates, which are placed on rotating drums, which consequently move along the processing zones with the same constant linear and angular speeds. Furthermore, a ratio between the linear and angular speeds of the drum is selected so that each surface point of the drum will complete at least two full revolutions while passing through the processing zone.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: August 20, 2019
    Assignee: THE BATTERIES SP. Z.O.O.
    Inventor: Ayrat Khamitovich Khisamov
  • Patent number: 10381257
    Abstract: A control unit of a substrate conveying robot makes a robot arm and a substrate holding device execute a blade member advancing operation, a substrate receiving operation, and a substrate placing operation. The substrate holding device is configured to be capable of being switched between a first working state that a pair of blade members are arranged in the vertical direction and a second working state that a pair of blade members are arranged in a position out of the vertical direction and a single blade member can be advanced into a substrate placing structure.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: August 13, 2019
    Assignees: KAWASAKI JUKOGYO KABUSHIKI KAISHA, KAWASAKI ROBOTICS (USA), INC.
    Inventors: Mark Tang, Eric Chan, Shigeki Ono, Shinya Kitano, Ming Zeng, Hirohiko Goto
  • Patent number: 10361104
    Abstract: Methods and apparatus for processing a substrate are provided herein. In one implementation, the apparatus includes a load lock chamber coupled to a transfer chamber. The transfer chamber is coupled to a thermal process chamber and a substrate is transferred between each of the load lock chamber, the transfer chamber, and the thermal process chamber. In other implementations, a process platform having a load lock chamber, a transfer chamber, and a thermal process chamber is disclosed. Methods of measuring oxygen concentration in a load lock chamber via evacuation of a transfer chamber are also described herein.
    Type: Grant
    Filed: July 26, 2017
    Date of Patent: July 23, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shay Assaf, Andrew Constant, Jacob Newman, Charles Carlson, William Tyler Weaver, Stephen Hickerson
  • Patent number: 10347515
    Abstract: For vacuum treatment of workpieces by a multitude of distinct processing stations (P11-P1n, P21-P2m) the processing stations are grouped in two groups (I and II). The workpieces are handled towards and from the processing stations of the first group (I) simultaneously, whereat the workpieces are treated by the processing stations of the second group (II) in a selectable individual sequence.
    Type: Grant
    Filed: August 6, 2014
    Date of Patent: July 9, 2019
    Assignee: EVATEC AG
    Inventor: Bernd Heinz
  • Patent number: 10290523
    Abstract: A wafer processing apparatus includes a controller connected to a first robot and a second robot. The controller controls the first robot so that the wafer is placed on a first load lock stage in such a way that the center of the wafer is shifted from the center of the first load lock stage by a first position shift amount and another wafer is placed on a second load lock stage in such a way that the center of the wafer is shifted from the center of the second load lock stage by a second position shift amount. The controller controls the second robot so that the second robot simultaneously conveys two wafers between the first and second load lock stages, and a first processing stage and a second processing stage.
    Type: Grant
    Filed: March 17, 2017
    Date of Patent: May 14, 2019
    Assignee: ASM IP Holding B.V.
    Inventor: Toshihisa Nozawa
  • Patent number: 10269541
    Abstract: A plasma reactor has a microwave source including a microwave window with a channel extending through the window and a coolant source for flowing a coolant through the channel. The coolant is a liquid that does not absorb microwave power.
    Type: Grant
    Filed: June 2, 2014
    Date of Patent: April 23, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Michael W. Stowell, Qiwei Liang
  • Patent number: 10269603
    Abstract: A substrate processing apparatus includes a process chamber configured to process a substrate, a carrier mounting part configured to mount a carrier which accommodates the substrate, the substrate capable of being brought into and out of the carrier when a door of the carrier mounted on the carrier mounting part is opened, a carrier opener configured to open and close the door of the carrier mounted on the carrier mounting part, a purge gas supply part configured to supply an inert gas into the carrier with the door kept opened, and a control part configured to perform control so as to carry out at least one inert gas purge among a load purge, an unload purge and a standby purge.
    Type: Grant
    Filed: July 2, 2014
    Date of Patent: April 23, 2019
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Yoshihiko Nakagawa, Hiroshi Kotani
  • Patent number: 10260147
    Abstract: A device is provided for depositing carbonaceous structures, for example layers in the form of nanotubes or graphene on a substrate, which is supported by a substrate support disposed in a process chamber housing. A process gas can be delivered onto the substrate through gas outlet openings of a gas inlet element disposed in the process chamber housing. The process chamber housing has two opposing walls which each have holding recesses. At least one plate-shaped component is disposed in the process chamber housing. The plate-shaped component has two edge portions directed away from one another that each are inserted respectively in the holding recess of one of the two opposing walls.
    Type: Grant
    Filed: March 19, 2015
    Date of Patent: April 16, 2019
    Assignee: AIXTRON SE
    Inventors: Alexandre Jouvray, David Eric Rippington, Kenneth B. K. Teo, Nalin L. Rupesinghe
  • Patent number: 10256305
    Abstract: An electronic device includes a trigonal crystal substrate defining a (0001) C-plane. The substrate may comprise Sapphire or other suitable material. A plurality of rhombohedrally aligned SiGe (111)-oriented crystals are disposed on the (0001) C-plane of the crystal substrate. A first region of material is disposed on the rhombohedrally aligned SiGe layer. The first region comprises an intrinsic or doped Si, Ge, or SiGe layer. The first region can be layered between two secondary regions comprising n+doped SiGe or n+doped Ge, whereby the first region collects electrons from the two secondary regions.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: April 9, 2019
    Assignee: The United States of America as represented by the Administrator of NASA
    Inventors: Sang Hyouk Choi, Yeonjoon Park, Glen C. King, Hyun-Jung Kim, Kunik Lee
  • Patent number: 10249487
    Abstract: A substrate processing method includes a substrate holding step of holding a substrate in a horizontal orientation by means of a substrate holding unit, a liquid film forming step of supplying a processing liquid to an upper surface of the substrate held by the substrate holding unit to form a liquid film, an upper surface covering step of discharging, above the substrate held by the substrate holding unit, an inert gas radially and parallel to the upper surface of the substrate from a center toward a peripheral edge of the substrate to form an inert gas stream flowing parallel to the upper surface of the substrate and covering the upper surface of the substrate, and a liquid film removing step of discharging an inert gas toward the upper surface of the substrate to remove the liquid film, formed by the liquid film forming step, from the upper surface of the substrate.
    Type: Grant
    Filed: January 22, 2016
    Date of Patent: April 2, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Manabu Okutani, Kenji Kobayashi, Naohiko Yoshihara
  • Patent number: 10233542
    Abstract: Disclosed is a substrate processing apparatus and method which facilitates to sequentially or repetitively carry out a thin film deposition process and a surface treatment process inside one process space, wherein the substrate processing apparatus comprises a process chamber for providing a process space; a substrate supporter for supporting at least one of substrates and moving the supported substrate in a predetermined direction; a chamber lid confronting the substrate supporter; and a gas distributor for spatially separating process gas for depositing a thin film on the substrate from a surface treatment gas for performing a surface treatment of the thin film, and locally distributing the process gas and the surface treatment gas on the substrate supporter, wherein the gas distributor confronting the substrate supporter is provided in the chamber lid.
    Type: Grant
    Filed: June 26, 2013
    Date of Patent: March 19, 2019
    Assignee: JUSUNG ENGINEERING CO., LTD.
    Inventors: Jae Chan Kwak, Sung Kyu Kang, Hun Jung, Byoung Ha Cho
  • Patent number: 10214823
    Abstract: A coated metal component includes an aluminum alloy substrate and a protective aluminum coating on a substrate. An interfacial boundary layer between the coating and substrate enhances coating adhesion. The boundary layer includes isolated regions of copper or tin produced by a double zincating process. The protective aluminum coating exhibits improved adhesion and is formed by electrodeposition in an ionic liquid.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: February 26, 2019
    Assignee: United Technnologies Corporation
    Inventors: Rhonda R. Willigan, Lei Chen, Mark R. Jaworowski
  • Patent number: 10204790
    Abstract: In accordance with some embodiments herein, methods for deposition of thin films are provided. In some embodiments, thin film deposition is performed in a plurality of stations, in which each station provides a different reactant or combination of reactants. The stations can be in gas isolation from each other so as to minimize or prevent undesired chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) reactions between the different reactants or combinations of reactants.
    Type: Grant
    Filed: July 28, 2015
    Date of Patent: February 12, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Jun Kawahara, Suvi Haukka, Antti Niskanen, Eva Tois, Raija Matero, Hidemi Suemori, Jaako Anttila, Yukihiro Mori
  • Patent number: 10202690
    Abstract: Disclosed is an apparatus and method of processing substrate, which facilitates to improve deposition uniformity of a thin film deposited on a substrate, and to control quality of a thin film, wherein the apparatus includes a process chamber; a substrate supporter for supporting at least one of substrates, wherein the substrate supporter is provided in the bottom of the process chamber; a chamber lid confronting the substrate supporter, the chamber lid for covering an upper side of the process chamber; and a gas distributor for locally distributing activated source gas on the substrate, wherein the gas distributor locally confronting the substrate supporter is provided in the chamber lid, wherein the gas distributor forms plasma by the use of plasma formation gas, and activates the source gas by distributing the source gas to some of plasma area for formation of the plasma.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: February 12, 2019
    Assignee: JUSUNG ENGINEERING CO., LTD.
    Inventors: Chul Joo Hwang, Jeung Hoon Han, Young Hoon Kim, Seung Hoon Seo
  • Patent number: 10199256
    Abstract: In some embodiments, methods and systems are provided for improved handling of lithography masks including loading a mask via a first load port from a first carrier; inverting the mask using a first contact pad; cleaning the mask; inverting the mask using a second contact pad; and unloading the mask via a second load port into a second carrier. Numerous other aspects are provided.
    Type: Grant
    Filed: September 27, 2014
    Date of Patent: February 5, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Edward Ng, Jeffrey C. Hudgens, Ayan Majumdar, Sushant S. Koshti
  • Patent number: 10190216
    Abstract: A showerhead tilt adjustment mechanism is provided which supports a showerhead module in a top plate of a semiconductor substrate processing apparatus, the showerhead tilt adjustment mechanism including a differential screw which provides coarse and fine adjustments to adjust gap/tilt/planarization of a faceplate of the showerhead module with respect to an upper surface of a substrate pedestal module adjacent the faceplate in the semiconductor substrate processing apparatus.
    Type: Grant
    Filed: July 25, 2017
    Date of Patent: January 29, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Bin Luo, Timothy Scott Thomas, Damien Slevin, Dave Kamp
  • Patent number: 10186401
    Abstract: In a known plasma-chemical coating apparatus, a plasma chamber is provided within which at least one linear antenna is arranged for producing a plasma by means of electromagnetic power, in which a supply for a carrier gas terminates and which comprises a plasma exit opening in the direction of a treatment chamber for a plasma-assisted modification of a substrate. Starting from this, to achieve cleaning cycles as in coating apparatuses with comparatively slow coating processes, it is suggested according to the invention that the plasma exit opening is configured as an elongated narrowing and defined preferably on both sides by cylinders which extend in parallel with each other and are rotatable about their cylinder axis, and that a cleaning zone is respectively provided for each of the cylinders, into which an area of the outer surface of the respective cylinder which is to be cleaned can be introduced by rotation about the cylinder axis.
    Type: Grant
    Filed: July 11, 2014
    Date of Patent: January 22, 2019
    Assignee: W & L Coating Systems GmbH
    Inventors: Michael Liehr, Hans-Dieter Wurczinger
  • Patent number: 10186422
    Abstract: A substrate processing apparatus is provided with a process module including a processing container, a rotary table installed within the processing container, the rotary table having a plurality of placing regions to receive substrates, and a process gas supply unit supplying a process gas to the placing regions, a load port in which a transfer container is placed, a dummy substrate receiving unit, a transfer chamber including a transfer mechanism delivering the product substrates or the dummy substrates between the transfer container or the dummy substrate receiving unit and the rotary table, a setting unit setting a placing region to which one of the product substrates is to be transferred, and a control unit outputting a control signal such that the dummy substrates are carried into the remaining placing regions.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: January 22, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naohide Ito, Keiji Osada, Daisuke Morisawa
  • Patent number: 10186440
    Abstract: An adapter tool configured to be attached to a loadport of a wafer handling system includes a support member and first and second guiding elements attached to the support member and being juxtaposed to each other. The first guiding element is arranged for placing a first wafer magazine, and the second guiding element is arranged for placing a second wafer magazine. The adapter tool further includes a housing supported by the support member and configured to house the first and the second wafer magazines, respectively, and first and second openings in the housing, respectively. The first and second openings are aligned with the first and second guiding elements.
    Type: Grant
    Filed: May 30, 2017
    Date of Patent: January 22, 2019
    Assignee: Infineon Technologies AG
    Inventors: Michael Larisch, Ulrich Beck, Michael Walser
  • Patent number: 10170379
    Abstract: Disclosed herein is a wafer processing system for processing wafers one at a time, the wafer processing system including: a plurality of trays each configured to accommodate a wafer; a conveyor configured to transfer the wafers accommodated in the trays; first and second tray holding apparatuses arranged to be spaced from each other along the conveyor, the first and second tray holding apparatuses unloading the trays from the conveyor and loading the unloaded trays onto the conveyor; and first and second apparatuses provided for the first and second tray holding apparatuses, respectively, the first and second apparatuses including a processing unit configured to process the wafers transferred by the conveyor, and a loading/unloading unit configured to unload a wafer from or load a wafer onto one of the trays that is held by the first or second tray holding apparatus.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: January 1, 2019
    Assignee: DISCO CORPORATION
    Inventor: Kazuma Sekiya
  • Patent number: 10167548
    Abstract: A continuous system for transmitting accelerating forces and decelerating forces by interlocking, consisting of at least one carrier system having at least two connecting elements, a plurality of transport systems arranged one behind the other, wherein each transport system has a cam drum or cylindrical cam having a helical groove and the connecting elements of the carrier system are suitable for interlockingly engaging with the groove of the cam drum, and at least one motor, which drives the cam drums.
    Type: Grant
    Filed: December 11, 2015
    Date of Patent: January 1, 2019
    Assignee: Singulus Technologies AG
    Inventors: Stefan Kempf, Holger Schramm
  • Patent number: 10124492
    Abstract: A cluster tool assembly includes a vacuum transfer module, a process module having a first side connected to the vacuum transfer module. An isolation valve having a first side and a second side, the first side of the isolation valve coupled to a second side of the process module. A replacement station is coupled to the second side of the isolation valve. The replacement station includes an exchange handler and a part buffer. The part buffer includes a plurality of compartments to hold new or used consumable parts. The process module includes a lift mechanism to enable placement of a consumable part installed in the process module to a raised position. The raised position provides access to the exchange handler to enable removal of the consumable part from the process module and store in a compartment of the part buffer. The exchange handler of the replacement station is configured to provide a replacement for the consumable part from the part buffer back to the process module.
    Type: Grant
    Filed: February 19, 2016
    Date of Patent: November 13, 2018
    Assignee: Lam Research Corporation
    Inventors: Damon Tyrone Genetti, Jon McChesney, Alex Paterson, Derek John Witkowicki, Austin Ngo
  • Patent number: 10115560
    Abstract: A metal surface modification apparatus having a tilting unit includes holding jigs having respective lower parts having curved surfaces to hold the implants; a movable holding base provided with a plurality of receiving depressions to have curved surfaces corresponding to the curved surfaces of the lower parts; and a stationary pushing plate disposed on the movable holding base to cover the movable holding base, and configured to be moved relative to the movable holding base and to have a plurality of through holes positioned to face the receiving depressions.
    Type: Grant
    Filed: May 11, 2017
    Date of Patent: October 30, 2018
    Assignee: Sodick Co., Ltd.
    Inventor: Motohiro Inoue
  • Patent number: 10103040
    Abstract: The invention relates to an apparatus for manufacturing a semiconductor device comprising a reaction chamber comprising a substrate holder for holding a substrate; and, a heater for heating the substrate. The heater may comprise a vertical cavity surface emitting laser constructed and arranged to emit a radiation beam to a substrate held by the substrate holder to heat the substrate.
    Type: Grant
    Filed: March 31, 2017
    Date of Patent: October 16, 2018
    Assignee: ASM IP Holding B.V.
    Inventors: Theodorus Oosterlaken, Chris de Ridder, Lucian Jdira
  • Patent number: 10100409
    Abstract: A chemical vapor deposition (CVD) reactor includes a double wall vacuum processing chamber with an inner wall and an outer wall and fluid passages between the walls. A layer of thermal insulation covers the outer wall. A layer of high temperature thermal insulation covers the inner wall. Heating elements are positioned in the interior of the processing chamber to heat a substrate mounted in the chamber. A gas inlet structure is positioned through the inner and outer walls of the chamber and oriented to direct a flow of reactant gas against the substrate to form a CVD coating on the substrate. A gas outlet structure connected to a vacuum and effluent management system is positioned through the inner and outer walls of the chamber.
    Type: Grant
    Filed: February 11, 2015
    Date of Patent: October 16, 2018
    Assignee: United Technologies Corporation
    Inventor: Kirk C. Newton
  • Patent number: 10062599
    Abstract: A cluster tool assembly includes a vacuum transfer module, a process module having a first side connected to the vacuum transfer module. An isolation valve having a first side and a second side, the first side of the isolation valve coupled to a second side of the process module. A replacement station is coupled to the second side of the isolation valve. The replacement station includes an exchange handler and a part buffer. The part buffer includes a plurality of compartments to hold new or used consumable parts. The process module includes a lift mechanism to enable placement of a consumable part installed in the process module to a raised position. The raised position provides access to the exchange handler to enable removal of the consumable part from the process module and store in a compartment of the part buffer. The exchange handler of the replacement station is configured to provide a replacement for the consumable part from the part buffer back to the process module.
    Type: Grant
    Filed: February 19, 2016
    Date of Patent: August 28, 2018
    Assignee: Lam Research Corporation
    Inventors: Damon Tyrone Genetti, Jon McChesney, Alex Paterson, Derek John Witkowicki, Austin Ngo
  • Patent number: 10056574
    Abstract: An organic EL display 1 includes a sealing film 2 provided on a plastic substrate 10 to cover an organic EL element 4. The sealing film 2 includes a first sealing layer 25 on a surface of the plastic substrate 10, three stress relief layers 26, 27, and 28 on a surface of the first sealing layer 25, and a second sealing layer 29 on a surface of the stress relief layer 28. The stress relief layers 26, 27, and 28 each have a higher coefficient of thermal expansion than the first and second sealing layers 25 and 29. The stress relief layer 27 interposed between the stress relief layers 26 and 28 has a higher coefficient of thermal expansion than the stress relief layers 26 and 28.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: August 21, 2018
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Mamoru Ishida, Tetsuya Okamoto, Takeshi Hirase, Tohru Senoo, Tohru Sonoda, Daichi Nishikawa
  • Patent number: 10049860
    Abstract: An apparatus includes a row of substrate transfer devices 3 which can deliver a wafer W within a transfer chamber; and rows of process modules PM, arranged at right and left sides of the row of the substrate transfer devices along the row, configured to perform processes to the wafer W. The rows of the process modules PM are arranged such that each of the processes can be performed by at least two process modules PM. Thus, when a single process module PM cannot be used, the wafer W can be rapidly transferred to another process module PM which can perform the same process as performed in the corresponding process module. Therefore, even when the single process module PM cannot be used, the processes can be continued to the wafers W without stopping an operation of the apparatus, so that the number of wasted wafers W can be reduced.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: August 14, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Atsushi Gomi, Tetsuya Miyashita, Shinji Furukawa, Koji Maeda, Masamichi Hara, Naoyuki Suzuki, Hiroshi Miki, Toshiharu Hirata
  • Patent number: 10029344
    Abstract: A polishing apparatus capable of enabling a user to know a frequency and a trend of a retry operation of retrying a substrate release operation is disclosed. The polishing apparatus includes: a substrate holder configured to press a substrate against a polishing pad; a fluid ejection system configured to eject a fluid into a gap between the substrate and a flexible membrane for releasing the substrate from a substrate holding surface; an operation controller configured to instruct the fluid ejection system to perform a retry operation of ejecting the fluid again in a case where the release of the wafer has failed; and a monitoring device configured to store a historical information of the retry operation.
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: July 24, 2018
    Assignee: EBARA CORPORATION
    Inventor: Shuichi Kamata
  • Patent number: 10023385
    Abstract: An article storage facility is provided in which a back side transport device can be installed while reducing any reduction in the storage efficiency of the article storage facility. A back side transport device (4) is provided which can support and transport an article (W) between an outside location (P1) located rearward of a back face of the article storage rack (2) and an inside location (P2) located forwardly of the back face of the article storage rack (2). The inside location (P2) is defined between storage sections (1) arranged along the rack vertical direction. And the back side transport device (4) includes a receiving support portion (20) for receiving and supporting an article (W), and an actuating operation portion (21) for actuating the receiving support portion (20) in order to move the article (W) to the inside location (P2) and to the outside location (P1).
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: July 17, 2018
    Assignee: Daifuku Co., Ltd.
    Inventors: Suguru Shibata, Hiroshi Otsuka
  • Patent number: 10014261
    Abstract: A method of forming a charge pattern on a microchip includes depositing a material on the surface of the microchip, and immersing the microchip in a fluid to develop charge in or on the material through interaction with the surrounding fluid.
    Type: Grant
    Filed: October 15, 2012
    Date of Patent: July 3, 2018
    Assignee: PALO ALTO RESEARCH CENTER INCORPORATED
    Inventors: Eugene M. Chow, JengPing Lu, Armin R. Volkel, Bing R. Hsieh, Gregory L. Whiting
  • Patent number: 10014163
    Abstract: The invention relates to apparatus and a method for applying coatings to substrates such as, for example, a lens or electronic component. The apparatus includes a coating chamber in which there is provided one or more magnetrons which include, typically, an at least partially oxidized metal or metal alloy. A carrier is provided for the substrates to be moved and held in the coating chamber and the carrier is formed from a plurality of units on which the substrates are positioned and the units can be brought together to form the carrier.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: July 3, 2018
    Assignee: Vision Ease, LP
    Inventors: Allen Robert Waugh, Gareth William Hall, Steven Antony Stanley