Multizone Chamber Patents (Class 118/719)
  • Patent number: 11066747
    Abstract: Implementations described herein relate to apparatus and methods for self-assembled monolayer (SAM) deposition. Apparatus described herein includes processing chambers having various vapor phase delivery apparatus fluidly coupled thereto. SAM precursors may be delivered to process volumes of the chambers via various apparatus which is heated to maintain the precursors in vapor phase. In one implementation, a first ampoule or vaporizer configured to deliver a SAM precursor may be fluidly coupled to the process volume of a process chamber. A second ampoule or vaporizer configured to deliver a material different from the SAM precursor may also be fluidly coupled to the process volume of the process chamber.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: July 20, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Qiwei Liang, Adib Khan, Tobin Kaufman-Osborn, Srinivas D. Nemani, Ludovic Godet
  • Patent number: 11031215
    Abstract: A processing chamber such as a plasma etch chamber can perform deposition and etch operations, where byproducts of the deposition and etch operations can build up in a vacuum pump system fluidly coupled to the processing chamber. A vacuum pump system may have multiple roughing pumps so that etch gases can be diverted a roughing pump and deposition precursors can be diverted to another roughing pump. A divert line may route unused deposition precursors through a separate roughing pump. Deposition byproducts can be prevented from forming by incorporating one or more gas ejectors or venturi pumps at an outlet of a primary pump in a vacuum pump system. Cleaning operations, such as waferless automated cleaning operations, using certain clean chemistries may remove deposition byproducts before or after etch operations.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: June 8, 2021
    Assignee: Lam Research Corporation
    Inventors: John Stephen Drewery, Tom A. Kamp, Haoquan Yan, John Edward Daugherty, Ali Sucipto Tan, Ming-Kuei Tseng, Bruce Edmund Freeman
  • Patent number: 11011401
    Abstract: In an embodiment, a system, includes: a first pressurized load port interfaced with a workstation body; a second pressurized load port interfaced with the workstation body; the workstation body maintained at a set pressure level, wherein the workstation body comprises an internal material handling system configured to move a semiconductor workpiece within the workstation body between the first and second pressurized load ports at the set pressure level; a first modular tool interfaced with the first pressurized load port, wherein the first modular tool is configured to process the semiconductor workpiece; and a second modular tool interfaced with the second pressurized load port, wherein the second modular tool is configured to inspect the semiconductor workpiece processed by the first modular tool.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: May 18, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Jung Huang, Yung-Lin Hsu, Kuang Huan Hsu, Jeff Chen, Steven Huang, Yueh-Lun Yang
  • Patent number: 10994950
    Abstract: An automated guided vehicle with a multistage loading structure includes a vehicle body, and a loader in the vehicle body and operative to load articles in a multistage manner. The loader has a loading unit that is positioned between the articles loaded in the multistage manner and separately loads the articles. The loading unit moves up and down.
    Type: Grant
    Filed: October 22, 2018
    Date of Patent: May 4, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bosung Kim, Gwanho Kim, Seonghoon Park, Jaenam Lee
  • Patent number: 10988844
    Abstract: Processes for producing flexible organic-inorganic laminates by atomic layer deposition are described, as well as barrier films comprising flexible organic-inorganic laminates. In particular, a process for producing a laminate including (a) depositing an inorganic layer by an atomic layer deposition process, and (b) depositing an organic layer comprising selenium by a molecular layer deposition process is provided.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: April 27, 2021
    Assignee: BASF Coatings GmbH
    Inventors: Maraike Ahlf, Juergen Frank, Torben Adermann, Stephan Klotz
  • Patent number: 10988839
    Abstract: The disclosure discloses an evaporation source and an evaporation device. The evaporation source includes an evaporation case, a crucible, and a heating unit; the evaporation case includes a top plate, a bottom plate, a first side plate, a second side plate, a first end plate, and a second end plate; a connecting section is arranged on the side of the top plate facing the bottom plate, a nozzle group is arranged on the bottom plate to jet gas in the direction away from the top plate; and the crucible includes a cubicle body, and a folded side arranged on the outside of the crucible body, a plurality of gas outlet holes are arranged in the folded side, and the crucible body cooperates slidably with the connecting section through the folded side.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: April 27, 2021
    Assignees: BOE Technology Group Co., Ltd., Hefei Xinsheng Optoelectronics Technology Co., Ltd.
    Inventors: Changqi Hu, Mengdi Wang
  • Patent number: 10982323
    Abstract: A first voltage is applied to a first positive electrode and a first negative electrode of an attraction plate in a lying posture to attract a dielectric object to be attracted on the attraction plate. The attraction plate is turned to a stand posture while attracting the dielectric object by a gradient force, and a conductive thin film is grown while applying a second voltage to a second positive electrode and a second negative electrode to generate an electrostatic force. Since the object is continuously attracted, the attraction plate will not detach. After having started attraction by electrostatic force, introduction of heat medium gas between the object and the attraction plate allows for temperature control of the object.
    Type: Grant
    Filed: April 20, 2020
    Date of Patent: April 20, 2021
    Assignee: ULV AC, INC.
    Inventors: Ken Maehira, Koh Fuwa, Tomoko Kittaka, Tetsuhiro Ohno, Hirotoshi Sakaue
  • Patent number: 10978291
    Abstract: The invention relates to a method of pre-cleaning a semiconductor structure and to associated modular semiconductor process tools. The method includes the steps of: (i) providing a semiconductor structure having an exposed dielectric layer of an organic dielectric material, wherein the dielectric layer has one or more features formed therein which expose one or more electrically conductive structures to be pre-cleaned, in which the electrically conductive structures each include a metal layer, optionally with a barrier layer formed thereon, and the surface area of the exposed dielectric layer is greater than the surface area of the electrically conductive structures exposed by the dielectric layer; and (ii) pre-cleaning the semiconductor structure by performing an Ar/H2 sputter etch to remove material from the exposed electrically conductive structures and to remove organic dielectric material from the exposed dielectric layer.
    Type: Grant
    Filed: September 11, 2014
    Date of Patent: April 13, 2021
    Assignee: SPTS Technologies Limited
    Inventors: Alex Theodosiou, Steve Burgess
  • Patent number: 10964526
    Abstract: A substrate processing method includes forming a liquid film of a processing liquid covering an entire upper surface of a horizontally-held substrate; heating the substrate to make the processing liquid of the substrate evaporate to form a gas phase layer between the upper surface of the substrate and the processing liquid and maintain the liquid film on the gas phase layer; blowing a gas at a first flow rate onto the liquid film on the substrate to partially remove the processing liquid to open a hole in the liquid film; heating the substrate to spread the hole to an outer periphery of the substrate and move the liquid film on the gas phase layer to remove the processing liquid, constituting the liquid film, off the substrate; and blowing a gas at a second flow rate greater than the first flow rate onto a region of the upper surface of the substrate within the hole after the hole opening step to spread the hole to the outer periphery of the substrate and move the liquid film on the gas phase layer to remove
    Type: Grant
    Filed: February 12, 2019
    Date of Patent: March 30, 2021
    Inventors: Manabu Okutani, Kenji Kobayashi, Naohiko Yoshihara
  • Patent number: 10961618
    Abstract: The present invention relates to a process for producing a carbon nanotube-grafted substrate, the process comprising: providing a substrate having catalytic material deposited thereon; and synthesising carbon nanotubes on the substrate by a chemical vapour deposition process in a reaction chamber; characterised in that the process comprises providing a counter electrode, applying a potential difference to the substrate in relation to the counter electrode and maintaining the potential difference of the substrate in relation to the counter electrode during the chemical vapour deposition process.
    Type: Grant
    Filed: July 15, 2015
    Date of Patent: March 30, 2021
    Assignee: Imperial College Innovations Limited
    Inventors: Milo Sebastian Peter Shaffer, David Benbow Anthony
  • Patent number: 10950825
    Abstract: A manufacturing method of an organic electronic device of the present invention, includes: a removing step of removing a volatile component from a flexible base material; a fixing step of fixing the flexible base material onto a support substrate via an adhesive layer; and a forming step of forming a device main body sequentially including a first electrode layer, at least one organic functional layer, and a second electrode layer on the flexible base material that is fixed onto the support substrate, on a side opposite to the support substrate, in this order, in which a vapor pressure of the volatile component is greater than or equal to 101325 Pa within a temperature range from 20° C. to a melting point of a parent resin of the flexible base material.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: March 16, 2021
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Masato Shakutsui, Masaya Shimogawara, Shinichi Morishima
  • Patent number: 10950451
    Abstract: A cutting apparatus includes a cutting unit that cuts a workpiece included in a frame unit, an ultraviolet ray irradiation unit that irradiates the frame unit with ultraviolet rays, and a control unit. The control unit includes a processing mode registration section in which commands to be output to components. The processing mode registration section registers therein a command in a cutting apparatus mode that causes the cutting unit to cut the workpiece and a command in an ultraviolet ray irradiation apparatus mode that causes the ultraviolet ray irradiation unit to irradiate the frame unit with ultraviolet rays.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: March 16, 2021
    Assignee: DISCO CORPORATION
    Inventors: Hisashi Arakida, Hiroyuki Hiraga
  • Patent number: 10919713
    Abstract: The disclosed system for loading and unloading the substrate includes: a substrate rotation apparatus having a first rotation position and a second rotation position with a difference in rotation angle of 90 degrees, wherein the substrate rotation apparatus includes two layers of sucker assemblies, each of which includes a plate body, a plurality of support suction tubes arranged on the plate body, and first suckers connected with tops of respective support suction tubes respectively, and there are gaps, for inserting the substrate therein, between respective first suckers of a lower layer of sucker assembly, and a plate body of an upper layer of sucker assembly; and a mechanical hand including a plurality of mechanical fingers capable of holding two halves of the substrate, both of which are arranged in a length direction of the mechanical fingers, and joined together into an entire substrate.
    Type: Grant
    Filed: October 10, 2017
    Date of Patent: February 16, 2021
    Assignees: BOE Technology Group Co., Ltd., Chengdu BOE Optoelectronics Technology Co., Ltd.
    Inventors: Jianlin Feng, Dawei Han, Jianjun Lin, Liang Zhou
  • Patent number: 10923386
    Abstract: Embodiments of the present disclosure provide a processing chamber with a top, a bottom, and a sidewall coupled together to define an enclosure, a gas distributor around the sidewall, a substrate support disposed in the enclosure, the substrate support having a central opening and a plurality of substrate locations distributed around the central opening, a pumping port below the substrate support, and an energy source coupled to the top or the bottom. The energy source may be a radiant source, a thermal source, a UV source, or a plasma source. The substrate support may be rotated using a magnetic rotator and an air bearing. The gas distributor may have a plurality of passages distributed around a circumference of the gas distributor.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventor: Mehmet Tugrul Samir
  • Patent number: 10907247
    Abstract: A method for preparing a film carrier for sputtering of IC units placed thereon, the method comprising the steps of: providing a carrier of IC units; removing said units from the carrier; delivering said IC units to a flipper; inverting and delivering said units to a sputtering film frame; placing the units on said sputtering film frame in an array having a pre-determined clearance about adjacent units.
    Type: Grant
    Filed: August 12, 2015
    Date of Patent: February 2, 2021
    Assignee: ROKKO SYSTEMS PTE LTD
    Inventors: Chong Chen Gary Lim, Seung Ho Baek, Jong Jae Jung, Yun Suk Shin, Deok Chun Jang
  • Patent number: 10910249
    Abstract: In an embodiment a system includes: a wafer store comprising a wafer configured for processing by a semiconductor processing tool; a cart configured to transport the wafer from the wafer store along a predetermined path; a robotic arm, the robotic arm configured to: read wafer data from the wafer store, transport the wafer from the wafer store to the cart, send the wafer data to the cart, wherein the cart is configured to transport the wafer to a location in response to the wafer data.
    Type: Grant
    Filed: November 12, 2018
    Date of Patent: February 2, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Feng-Lung Hsieh, Kuo-Hsiang Huang, Hung-Jen Lu
  • Patent number: 10907246
    Abstract: A film-forming apparatus having a simple and downsized structure is provided. The film-forming apparatus includes at least one target having an opposing face that opposes a substrate, a plurality of target holders each detachably holding the target, a cooling unit that cools a plurality of the target holders, and at least one cooling panel. The at least one cooling panel includes a held part held by the target holder, and a heat receiving part having a heat receiving face that opposes the substrate with the held part held by the target holder and receives radiation heat emitted from the substrate. The held part transfers heat from the heat receiving face to the target holder. Each target holder selectively holds the target or the cooling panel.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: February 2, 2021
    Assignee: Kobe Steel, Ltd.
    Inventors: Hirofumi Fujii, Takeshi Suzuki
  • Patent number: 10876204
    Abstract: A substrate processing apparatus includes a processing container configured to accommodate and process a substrate, an exhaust pipe connected to the processing container, an evacuation part configured to evacuate an interior of the processing container via the exhaust pipe, an exhaust pipe coating gas nozzle provided in the vicinity of the exhaust pipe inside the processing container and configured to supply at least one of a silicon-containing gas and an oxidizing gas into the exhaust pipe via the processing container, and a heating part configured to heat the processing container.
    Type: Grant
    Filed: April 25, 2018
    Date of Patent: December 29, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Katsutoshi Ishii
  • Patent number: 10875726
    Abstract: A work transfer system may include cassettes to house a work; a processing apparatus; and a robot to load and unload the work. The robot may include a base, a base link connected to the base, an arm link coupled to the base link, an arm connected to the arm link, and a hand connected to the arm. The base link and the arm link are controlled so that a center a coupling shaft of the arm link and the arm moves along a straight line. The cassettes are parallel to the straight line. A via point is specified for each quadrant of coordinate system and the robot moves between stages that are a target of loading or unloading of the work and uses the via point as a via point when moving.
    Type: Grant
    Filed: November 9, 2018
    Date of Patent: December 29, 2020
    Assignee: NIDEC SANKYO CORPORATION
    Inventor: Tetsuya Inomata
  • Patent number: 10867819
    Abstract: There is provided a vacuum processing apparatus for performing a vacuum process by supplying a processing gas onto a substrate arranged in a processing space kept in a vacuum atmosphere, the apparatus comprising: a first transfer space and a second transfer space in each of which the substrate is transferred; and an intermediate wall portion provided between the first transfer space and the second transfer space along the extension direction, wherein one or more processing spaces are arranged in the first transfer space along the extension direction, and two or more processing spaces are arranged in the second transfer space along the extension direction, and wherein a plurality of exhaust paths and a joined exhaust path where the plurality of exhaust paths are joined are formed in the intermediate wall portion.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: December 15, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takayuki Yamagishi, Tamihiro Kobayashi
  • Patent number: 10858259
    Abstract: A reactor 200 according to the present invention includes a heater storage section serving as a space section capable of accommodating a carbon heater to initial heating of silicon core wires. A carbon heater 13 is loaded in a deposition reaction space 20 in the reactor 200 only when necessary for initial heating of silicon core wires 12. After initial heating of the silicon core wires 12 is finished, the carbon heater 13 is unloaded from the deposition reaction space to the heater storage section 30. As a result, the carbon heater 13 is not unduly damaged in the reactor any longer and its deterioration is reduced. In addition, because of reduction in reaction with hydrogen gas in the reactor, the generation of methane is reduced, and thus carbon contamination of polycrystalline silicon is reduced.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: December 8, 2020
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yasushi Kurosawa, Shigeyoshi Netsu, Naruhiro Hoshino
  • Patent number: 10854483
    Abstract: Apparatuses for annealing semiconductor substrates, such as a batch processing chamber, are provided herein. The batch processing chamber includes a chamber body enclosing an internal volume, a cassette moveably disposed within the internal volume and a plug coupled to a bottom wall of the cassette. The chamber body has a hole through a bottom wall of the chamber body and is interfaced with one or more heaters operable to maintain the chamber body at a temperature of greater than 290° C. The cassette is configured to be raised to load a plurality of substrates thereon and lowered to seal the internal volume. The plug is configured to move up and down within the internal volume. The plug includes a downward-facing seal configured to engage with a top surface of the bottom wall of the chamber body and close the hole through the bottom wall of the chamber body.
    Type: Grant
    Filed: October 11, 2018
    Date of Patent: December 1, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jason M. Schaller, Robert Brent Vopat, Charles T. Carlson, Jeffrey Charles Blahnik, Timothy J. Franklin, David Blahnik, Aaron Webb
  • Patent number: 10832891
    Abstract: A plasma processing apparatus includes a process chamber, and a pedestal provided in the process chamber and configured to hold a substrate. The plasma processing apparatus includes a first gas supply part configured to be able to supply a first gas from a location facing the pedestal, and a radio frequency power source configured to convert the first gas to plasma. A shield part to block the first gas converted to plasma is provided around the pedestal. The plasma processing apparatus further includes an evacuation part configured to evacuate the process chamber through the shield part, and a second gas supply part configured to be able to supply a second gas to a space between the shield part and the evacuation part.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: November 10, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Ryota Sakane, Hideyuki Kobayashi, Hiroshi Nagahata, Jungwoo Na
  • Patent number: 10815568
    Abstract: A gas distribution device includes a plurality of supply lines, a branch unit and a variation suppression unit. The supply lines are respectively connected to a plurality of processing chambers. The branch unit is configured to distribute a gas supplied from a gas supply source to the supply lines. The variation suppression unit is provided between the branch unit and the gas supply source and configured to supply the gas from the gas supply source to the branch unit and suppress variation in flow rates of the gas distributed by the branch unit between the supply lines.
    Type: Grant
    Filed: January 8, 2019
    Date of Patent: October 27, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takumi Kabe, Michitaka Aita
  • Patent number: 10818535
    Abstract: According to one embodiment, a plasma processing-apparatus processing object support platform includes a lower plate, an upper plate, and a variable condenser. The lower plate is electrically conductive. The upper plate is provided on the lower plate. A processing object is placed on an upper surface of the upper plate. The variable condenser is provided along a circumferential direction of the lower plate in a region at an upper outer circumferential vicinity of the lower plate. The region has an annular configuration. The variable condenser includes a first capacitance element and a second capacitance element disposed respectively on an inner circumferential side and an outer circumferential side in the region having the annular configuration. Mutually-different control voltages are suppliable to the first capacitance element and the second capacitance element.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: October 27, 2020
    Assignee: Toshiba Memory Corporation
    Inventor: Hideo Eto
  • Patent number: 10818525
    Abstract: Methods and apparatus for processing a substrate are provided herein. In one implementation, the apparatus includes a load lock chamber coupled to a transfer chamber. The transfer chamber is coupled to a thermal process chamber and a substrate is transferred between each of the load lock chamber, the transfer chamber, and the thermal process chamber. In other implementations, a process platform having a load lock chamber, a transfer chamber, and a thermal process chamber is disclosed. Methods of measuring oxygen concentration in a load lock chamber via evacuation of a transfer chamber are also described herein.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: October 27, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Shay Assaf, Andrew Constant, Jacob Newman, Charles Carlson, William Tyler Weaver, Stephen Hickerson
  • Patent number: 10811232
    Abstract: Embodiments of the disclosure relate to a multi-plate faceplate having a first plate and a second plate. The first plate has a plurality of first plate openings. The second plate has a first surface, an opposed second surface and a plurality of second plate openings extending therethrough. The first surface is mechanically coupled to the first plate. A second plate opening has a conical portion configured to be fluidly coupled to a first plate opening and decreasing in cross-section in the depth direction thereof from the second surface. A surface of the conical portion is coated with a protective coating adjacent to the first and second surfaces. In another embodiment, the first plate has a protrusion extending therefrom into a recess formed inwardly of the first surface. The protrusion has a passage extending therethrough fluidly connected to the recess, which is fluidly connected to the second plate opening.
    Type: Grant
    Filed: August 8, 2017
    Date of Patent: October 20, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Deepak Doddabelavangala Srikantaiah, Sheshraj L. Tulshibagwale, Saravjeet Singh, Alexander Tam
  • Patent number: 10804560
    Abstract: A unit cell for a secondary battery includes a central electrode having a first polarity, a pair of separators respectively laminated on both surfaces the central electrode, and an upper electrode and a lower electrode respectively laminated on the pair of separators and having a second polarity, wherein the separator has a patterned adhesive force.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: October 13, 2020
    Assignee: LG CHEM, LTD.
    Inventors: Sang-Kyun Lee, Ju-Hyeon Cho, Duck-Hoe Kim, Cha-Hun Ku
  • Patent number: 10767253
    Abstract: An embodiment of the present disclosure provides a manufacturing apparatus for manufacturing a mask frame assembly, relates to a technical field of display apparatus manufacturing, which can reduce the friction between a frame and a stage of the manufacturing apparatus for manufacturing the mask frame assembly. The manufacturing apparatus for manufacturing the mask frame assembly provided by an embodiment of the present disclosure includes: a stage for carrying a frame; a resistance reducing part located on the stage, which is configured to reduce the frictional resistance between the frame and the stage when they move relative to each other.
    Type: Grant
    Filed: July 31, 2017
    Date of Patent: September 8, 2020
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., ORDOS YUANSHENG OPTOELECTRONICS CO., LTD.
    Inventors: Xinjian Zhang, Dejian Liu
  • Patent number: 10755903
    Abstract: A method of cleaning a remote plasma source includes supplying a first cycle of one or more first cleaning gases to a remote plasma source. The method includes supplying a second cycle of one or more second cleaning gases to the remote plasma source. The method includes supplying one or more cooling fluids to one or more cooling conduits coupled with the remote plasma source.
    Type: Grant
    Filed: January 4, 2017
    Date of Patent: August 25, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Sidharth Bhatia, Zhili Zuo, Hidehiro Kojiri, Anjana M. Patel, Song-Moon Suh, Ganesh Balasubramanian
  • Patent number: 10727100
    Abstract: A load port provided adjacent to a wafer transport chamber for taking in and out a wafer W between the wafer transport chamber and a FOUP, includes a plate-shaped part that constitutes a part of a wall of the wafer transport chamber, and has an opening for opening the wafer transport chamber; a door part for opening and closing the opening; a mounting table that is configured to mount a wafer storage container so as to oppose a lid part for opening and closing an internal space to the door part, and to move to and from the plate-shaped part; and an elastic part that is provided on the mounting table side of the plate-shaped part along the peripheral edge of the opening, wherein the elastic part elastically contacts the periphery of the lid part in the wafer storage container by moving the mounting table toward the plate-shaped part.
    Type: Grant
    Filed: April 25, 2019
    Date of Patent: July 28, 2020
    Assignee: SINFONIA TECHNOLOGY CO., LTD.
    Inventors: Mitsutoshi Ochiai, Takaaki Nakano
  • Patent number: 10711340
    Abstract: The present disclosure provides a baffle device for an evaporation apparatus and the evaporation apparatus. The baffle device includes a baffle assembly, configured to separate an evaporation ejection source from a substrate when the substrate is being switched in the evaporation apparatus; and at least one collection device, provided below the baffle assembly, and configured to collect evaporation material falling down from the baffle assembly.
    Type: Grant
    Filed: November 22, 2017
    Date of Patent: July 14, 2020
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Da Zhou, Zailong Mo, Qun Ma
  • Patent number: 10704135
    Abstract: A system for depositing coating on a workpiece includes a deposition chamber within which is formed a vortex to at least partially surround a workpiece therein.
    Type: Grant
    Filed: October 22, 2015
    Date of Patent: July 7, 2020
    Assignee: Raytheon Technologies Corporation
    Inventors: James W Neal, Brian T Hazel, David A Litton, Eric Jorzik
  • Patent number: 10699930
    Abstract: Buffer chamber including robots, a carousel and at least one heating module for use with a batch processing chamber are described. Robot configurations for rapid and repeatable movement of wafers into and out of the buffer chamber and cluster tools incorporating the buffer chambers and robots are described.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: June 30, 2020
    Assignee: Applied Materials, Inc.
    Inventors: William T. Weaver, Jason M. Schaller, Robert Brent Vopat, David Blahnik, Benjamin B. Riordon, Paul E. Pergande
  • Patent number: 10669631
    Abstract: A gas injection apparatus, which can sequentially supply a substrate with at least two kinds of source gases reacting with each other in a container, and thin film deposition equipment including the gas injection apparatus, are provided. The gas injection apparatus includes a base plate, a first gas supply region protruding from the base plate, a second gas supply region protruding from the base plate and adjacent the first gas supply region, and a trench defined by a sidewall of the first gas supply region and a sidewall of the second gas supply region. The sidewall of the first gas supply region and the sidewall of the second gas supply region face each other and extend in a radial direction on the base plate.
    Type: Grant
    Filed: July 10, 2018
    Date of Patent: June 2, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Chul Kim, Jung-Il Ahn, Jung-Hun Seo, Jong-Cheol Lee, Kyu-Hee Han, Seung-Han Lee, Jin-Pil Heo
  • Patent number: 10669627
    Abstract: A modular multilayer deposition system includes a plurality of modular deposition chambers, including at least one parylene deposition chamber and at least one ALD deposition chamber. The parylene deposition chamber is connected in series with the ALD deposition chamber. Substrates are automatically moved from within the parylene deposition chamber to within the ALD deposition chamber or from within the ALD deposition chamber to the parylene deposition chamber.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: June 2, 2020
    Assignee: HzO, Inc.
    Inventors: Yang Yun, Max Sorenson, Chien-Lan Hsueh, Tining Su, Jim Dempster, Alex Anderson, Layton Baker
  • Patent number: 10655210
    Abstract: The present invention provides a roll-to roll sputtering process with a hybrid target comprising: unwinding a flexible polymer substrate from an unwinding axis; sputtering a hybrid target to the flexible polymer substrate for forming a first metal film, and a second metal film; and rewinding the flexible polymer substrate to a rewinding axis, and further comprising the following steps of: using laser to form a first electrode section and a second electrode section on the first metal film and the second metal film; and disposing a detecting substance layer on the second electrode section. Moreover, a product made by the roll-to-roll sputtering process is provided. Compared to the prior art, the hybrid target of the present invention is formed by multiple metals and can be sputtered to the substrate for forming multiple metal thin films. The present invention has an advantage of shortening the processing time and saving cost.
    Type: Grant
    Filed: December 7, 2016
    Date of Patent: May 19, 2020
    Assignee: Ace Medical Technology Co., Ltd.
    Inventors: Ching-Yu Chang, Chien-Fa Liao
  • Patent number: 10651063
    Abstract: The present invention provides various aspects for processing multiple types of substrates within cleanspace fabricators or for processing multiple or single types of substrates in multiple types of cleanspace environments. In some embodiments, a collocated composite cleanspace fabricator may be capable of processing semiconductor devices into integrated circuits and then performing assembly operations to result in product in packaged form.
    Type: Grant
    Filed: August 19, 2016
    Date of Patent: May 12, 2020
    Inventor: Frederick A. Flitsch
  • Patent number: 10636661
    Abstract: An apparatus and a method for wafer bonding are provided. The apparatus comprises a transfer module and a plasma module. The transfer module is configured to transfer a semiconductor wafer. The plasma module is configured to perform a plasma operation and a reduction operation to a surface of the semiconductor wafer to convert metal oxides on the surface of the semiconductor wafer to the metal.
    Type: Grant
    Filed: January 15, 2016
    Date of Patent: April 28, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yeong-Jyh Lin, Yeur-Luen Tu, Chin-Wei Liang
  • Patent number: 10629427
    Abstract: Methods for processing a substrate, such as bevel etch processing, are provided. In one embodiment, a method includes placing a substrate on a cover plate inside of a processing chamber, where the substrate has a center and a bevel edge and contains a dielectric layer thereon, the processing chamber contains a mask disposed above the substrate and an edge ring disposed under the substrate, the edge ring has an annular body, and the cover plate is disposed on a support assembly. The method further includes heating the substrate with a heater attached to the support assembly, raising the edge ring to contact the mask, flowing a process gas containing an etchant along an outer surface of the mask and to the bevel edge, where the process gas is ignited to produce a plasma, and exposing an upper surface of the substrate at the bevel edge to the process gas.
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: April 21, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zonghui Su, Vinay Prabhakar, Abdul Aziz Khaja, Jeongmin Lee
  • Patent number: 10611036
    Abstract: An apparatus and method for transporting a plurality of articles is disclosed. The apparatus includes a wheeled chassis, and a platform disposed on the wheeled chassis. The apparatus also includes a manipulator coupled to the wheeled chassis and operably configured to load a first article of the plurality of articles at a first position on the platform, or unload the first article of the plurality of articles from the first position on the platform. The apparatus further includes at least one actuator operably configured to cause successive relative rotational movements between the manipulator and the platform to provide access to successive rotationally spaced apart positions on the platform for loading or unloading each subsequent article in the plurality of articles.
    Type: Grant
    Filed: April 24, 2019
    Date of Patent: April 7, 2020
    Assignee: Advanced Intelligent Systems Inc.
    Inventor: Farhang Bidram
  • Patent number: 10603660
    Abstract: A modular device for treatment and/or analysis of substances comprises a supply device which supplies at least one functional fluid to at least one process device, wherein the supply device has multiple receiving means for receiving in each case one process device. The receiving means each have multiple supply ports, including an inflow supply port and an outflow supply port for transmission of a functional fluid. The process device has a functional region for the treatment and/or analysis of substances, a fluid line which encloses the functional region, and multiple coupling means for coupling to the supply ports of a receiving means. For each receiving means, a first valve device is provided in the region of the inflow supply port and a second valve device is provided in the region of the outflow supply port.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: March 31, 2020
    Assignee: INNOVATIVE THERMOANALYTIC INSTRUMENTS KG
    Inventors: Andrea Alles, Keith Stuart
  • Patent number: 10607875
    Abstract: An automated electrostatic bonding/de-bonding apparatus is used to automate a mating or separating process between a semiconductive wafer and a mobile electrostatic carrier (MESC). The apparatus includes a transfer enclosure, a robot arm, a bonding/de-bonding station, input/output (I/O) ports, I/O cassettes, and a control unit. The I/O cassettes house the different dispensable items that are used during the mating or separating process, such as semiconductive wafers, MESCs, and mated assemblies thereof. The robot arm moves the dispensable items between the I/O cassettes and the bonding/de-bonding station. The transfer enclosure prevents any external physical interference with the movement of the robot arm. The I/O ports integrated into the transfer enclosure allow the robot arm to access the I/O cassettes, which are located outside of the transfer enclosure. The control unit is used to manage the functionalities of the robot arm and the bonding/de-bonding station.
    Type: Grant
    Filed: January 23, 2018
    Date of Patent: March 31, 2020
    Inventor: Eryn Smith
  • Patent number: 10607866
    Abstract: A vertically oriented treatment chamber for the processing of a flux-free solder ball (or plated solder ball) loaded wafer chip. A treatment chamber comprises a first or upper heater at an upper end of the treatment chamber and a second or lower heater at a lower end of the treatment chamber. The treatment chamber includes a centrally disposed, preloaded flux free solder ball loaded wafer chip support ring movable upwardly and downwardly within the treatment chamber in response to temperature sensed monitoring of a wafer chip supported on the wafer chip support ring.
    Type: Grant
    Filed: August 1, 2018
    Date of Patent: March 31, 2020
    Assignee: Boston Process Technologies, Inc
    Inventor: Jian Zhang
  • Patent number: 10597778
    Abstract: A deposition method, including providing a channel through a deposition apparatus, feeding precursor vapor into the channel, and depositing material from the precursor vapor onto a substrate on its way through the deposition apparatus by exposing the substrate to the precursor vapor and to alternating photon exposure and shade periods within the channel.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: March 24, 2020
    Assignee: Picosun Oy
    Inventor: Timo Malinen
  • Patent number: 10600610
    Abstract: A substrate treatment apparatus includes a chamber providing a reaction region and including first and second sides facing each other, a module connected to the first side, an upper electrode in the reaction region, a substrate holder facing the upper electrode, wherein a substrate is disposed on the substrate holder, and first and second points are defined on the substrate, wherein the first point corresponds to a center of the substrate, and the second point is distant from the first point toward the first side, and a feeding line for applying an RF power, the feeding line connected to the upper electrode corresponding to the second point.
    Type: Grant
    Filed: October 11, 2017
    Date of Patent: March 24, 2020
    Assignee: JUSUNG ENGINEERING CO., LTD.
    Inventor: Ho Chul Kang
  • Patent number: 10576040
    Abstract: Irregular, angular particles are produced by a manufacturing method that comprises forming a film on a substrate and then stretching the substrate along one or more axes to fracture the film into particle structures. The substrate may be moved continuously along a production line as the film is formed and may be stretched by accelerating that movement. The substrate may comprise a surface with elevated patterns to control the fracturing of the film. The particles are particularly suitable for the transdermal delivery of a biologically active substance into the body of a patient.
    Type: Grant
    Filed: January 12, 2018
    Date of Patent: March 3, 2020
    Assignee: NDM Technologies Limited
    Inventor: Dewan Fazlul Hoque Chowdhury
  • Patent number: 10559483
    Abstract: A loading station for a substrate processing system includes first and second vertically-stacked loading stations. The first loading station includes a first airlock volume and first and second valves arranged at respective ends of the first loading station. The first and second valves are configured to selectively provide access to the first airlock volume and include first and second actuators, respectively, configured to open and close the first and second valves, and the first and second actuators extend downward from the first loading station. The second loading station includes a second airlock volume and third and fourth valves arranged at respective ends of the second loading station. The third and fourth valves are configured to selectively provide access to the second airlock volume and include third and fourth actuators, respectively, configured to open and close the third and fourth valves.
    Type: Grant
    Filed: August 8, 2017
    Date of Patent: February 11, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Richard H. Gould, Candi Kristoffersen, Gustavo G. Francken, James Van Gogh, Benjamin W. Mooring
  • Patent number: 10553470
    Abstract: A substrate alignment device includes a plurality of state detection units, each of which is configured to move from a standby position to a detection position for detecting a positional state of a substrate and return back from the detection position back to the standby position, and a multidimensional robot arm configured to receive and support the substrate, transfer the substrate to a substrate detection site, and adjust the substrate in at least one orientation or position according to the detected positional state of the substrate to position the substrate to a target position for overlay mark measurements.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: February 4, 2020
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: Liwan Yue, Qiang Wu
  • Patent number: 10526705
    Abstract: In a CVD reactor, flushing gases of different heat conductivities are used to flush a gap between a substrate holder and a heating system. The lower side of the substrate holder is configured differently in a central region with respect to the heat transmission from the heating system to the substrate holder, than in a circumferential region that surrounds the central region. The gap has such a gap height that, upon a change of a first flushing gas with a first heat conductivity to a second flushing gas with a second heat conductivity, the heat supplied from the heating system to the substrate holder changes differently in the circumferential region than in the central region.
    Type: Grant
    Filed: April 3, 2018
    Date of Patent: January 7, 2020
    Assignee: AIXTRON SE
    Inventors: Adam Boyd, Daniel Claessens, Hugo Silva