Multizone Chamber Patents (Class 118/719)
  • Patent number: 10014196
    Abstract: A wafer transport assembly includes first and second wafer transport modules, and a buffer module coupled between the first and second wafer transport modules. The first and second wafer transport modules and the buffer module are aligned in a single directional axis. The buffer module includes a first buffer stack positioned at a first lateral end of the buffer module, and a second buffer stack positioned at a second lateral end of the buffer module. The first lateral end of the buffer module defines a first side protrusion nested between the first and second wafer transport modules and first and second process modules. The second lateral end of the buffer module defines a second side protrusion that is nested between the first and second wafer transport modules and third and fourth process modules. The first and second wafer transport modules and the buffer module define a continuous controlled environment.
    Type: Grant
    Filed: October 20, 2015
    Date of Patent: July 3, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: John Daugherty, David Trussell, Michael Kellogg, Christopher Pena, Richard Gould, Klay Kunkel
  • Patent number: 10000847
    Abstract: Embodiments described herein include a susceptor for semiconductor processing including an oriented graphite plate that may have a thickness of at least 1 mm. The susceptor may have a support member, and the oriented graphite plate may be disposed on the support member. The support member may have a center thermal conduit and an edge thermal conduit, and may be substantially solid between the center thermal conduit and the edge thermal conduit.
    Type: Grant
    Filed: September 23, 2015
    Date of Patent: June 19, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Preetham Rao, Subramani Iyer, Kartik Shah, Mehran Behdjat
  • Patent number: 10001772
    Abstract: Recent trends of larger wafer and smaller lot sizes bring cluster tools with frequent lot switches. Practitioners must deal with more transient processes during such switches, including start-up and close-down processes. To obtain higher yield, it is necessary to shorten the duration of transient processes. Much prior effort was poured into the modeling and scheduling for the steady state of cluster tools. In the existing literature, no attention has been turned to optimize the close-down process for single-arm cluster tools with wafer residency constraints. This invention intends to do so by 1) developing a Petri net model to analyze their scheduling properties and 2) proposing Petri net-based methods to solve their close-down optimal scheduling problems under different workloads among their process steps. Industrial examples are used to illustrate the effectiveness and application of the proposed methods.
    Type: Grant
    Filed: October 21, 2015
    Date of Patent: June 19, 2018
    Assignee: Macau University of Science and Technology
    Inventors: Naiqi Wu, Qinghua Zhu, Mengchu Zhou, Yan Qiao
  • Patent number: 9970096
    Abstract: There is described an intaglio printing plate coating apparatus comprising a vacuum chamber having an inner space adapted to receive at least one intaglio printing plate to be coated, a vacuum system coupled to the vacuum chamber adapted to create vacuum in the inner space of the vacuum chamber, and a physical vapour deposition (PVD) system adapted to perform deposition of wear-resistant coating material under vacuum onto an engraved surface of the intaglio printing plate, which physical vapour deposition system includes at least one coating material target comprising a source of the wear-resistant coating material to be deposited onto the engraved surface of the intaglio printing plate. The vacuum chamber is arranged so that the intaglio printing plate to be coated sits substantially vertically in the inner space of the vacuum chamber with its engraved surface facing the at least one coating material target.
    Type: Grant
    Filed: May 6, 2017
    Date of Patent: May 15, 2018
    Assignee: KBA-NotaSys SA
    Inventors: François Gremion, Laurent Claude
  • Patent number: 9966286
    Abstract: A space needed to transfer a substrate container is decreased. A substrate processing apparatus includes a locating part where a substrate container accommodating a substrate is located; a driving unit configured to drive the locating part vertically; a transfer robot configured to transfer the substrate container; and a controller configured to control the driving unit and the transfer robot to move the locating part downward after the transfer robot moves to under the locating part to transfer the substrate container from the locating part to the transfer robot.
    Type: Grant
    Filed: September 13, 2016
    Date of Patent: May 8, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takashi Nogami, Tomoshi Taniyama, Kazuma Yoshioka
  • Patent number: 9957606
    Abstract: A circular PVD chamber has a plurality of sputtering targets mounted on a top wall of the chamber. A pallet in the chamber is coupled to a motor for rotating the pallet about its center axis. The pallet has a diameter less than the diameter of the circular chamber. The pallet is also shiftable in an XY direction to move the center of the pallet beneath any of the targets so all areas of a workpiece supported by the pallet can be positioned directly below any one of the targets. A scanning magnet is in back of each target and is moved, via a programmed controller, to only be above portions of the workpiece so that no sputtered material is wasted. For depositing a material onto small workpieces, a cooling backside gas volume is created between the pallet and the underside of sticky tape supporting the workpieces.
    Type: Grant
    Filed: October 26, 2015
    Date of Patent: May 1, 2018
    Assignee: Tango Systems Inc.
    Inventors: Ravi Mullapudi, Srikanth Dasaradhi, Lee LaBlanc, Suresh Palanisamy, Venkata Dora Chowdary Kakarla
  • Patent number: 9941132
    Abstract: A plasma processing apparatus includes: a reaction chamber; a plasma generation unit; a stage disposed inside the reaction chamber; an electrostatic chuck mechanism including an electrode portion inside the stage; a heater inside the stage; a support portion which supports a conveyance carrier between a stage-mounted position on the stage and a transfer position distant from the stage upward; and an elevation mechanism which elevates and lowers the support portion relative to the stage. In a case in which the conveyance carrier is mounted on the stage by lowering the support portion, application of voltage to the electrode portion is started in a state that the stage is being heated, and the plasma generation unit generates plasma after at least a part of an outer circumferential portion of a holding sheet holding the conveyance carrier contacts the stage and also after the heating of the stage is stopped.
    Type: Grant
    Filed: January 19, 2016
    Date of Patent: April 10, 2018
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Shogo Okita, Atsushi Harikai, Noriyuki Matsubara
  • Patent number: 9916923
    Abstract: Apparatus for controlling heat transfer between two objects. In one embodiment, an apparatus for controlled heat transfer is disclosed herein. The apparatus includes a first and second conductive elements, a container of magnetorheological fluid disposed between the first and second conductive elements, a first electromagnet disposed about the container, wherein the electromagnet is configured to produce a first magnetic field within the container of magnetorheological fluid and conductively couple the first and second conductive elements, and a second electromagnet configured to produce a second magnetic field perpendicular to the first magnetic field, wherein the second magnetic field is configured to conductively couple the first conductive element and the second conductive element.
    Type: Grant
    Filed: August 5, 2015
    Date of Patent: March 13, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David Barron, Chelsie M. Peterson
  • Patent number: 9914994
    Abstract: Disclosed is a substrate processing system which enables combined static and pass-by processing. Also, a system architecture is provided, which reduces footprint size. The system is constructed such that the substrates are processed therein vertically, and each chamber has a processing source attached to one sidewall thereof, wherein the other sidewall backs to a complementary processing chamber. The chamber system can be milled from a single block of metal, e.g., aluminum, wherein the block is milled from both sides, such that a wall remains and separates each two complementary processing chambers.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: March 13, 2018
    Assignee: INTEVAC, INC.
    Inventors: Patrick Leahey, Eric Lawson, Charles Liu, Terry Bluck, Kevin P. Fairbairn, Robert L. Ruck, Samuel D. Harkness, IV
  • Patent number: 9911580
    Abstract: A conventional substrate processing apparatus for generating plasma cannot generate plasma with high density and thus throughput of substrate processing is low. In order to solve this problem, provided is a substrate processing apparatus including a reaction vessel having a tubular shape and provided with a coil installed at an outer circumference thereof; a cover installed at a first end of the reaction vessel; a gas introduction port installed at the cover; a first plate installed between the gas introduction port and an upper end of the coil; a second plate installed between the first plate and the upper end of the coil; a substrate processing chamber installed at a second end of the reaction vessel; and a gas exhaust part connected to the substrate processing chamber.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: March 6, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hidehiro Yanai, Shin Hiyama, Toru Kakuda, Toshiya Shimada, Tomihiro Amano
  • Patent number: 9909552
    Abstract: To downsize an electromagnetic wave generation device in a plasma generation device that generates electromagnetic wave plasma by emitting to a target space an electromagnetic wave amplified by means of a solid state amplifying element. The plasma generation device includes the electromagnetic wave generation device that outputs the electromagnetic wave amplified by means of the solid state amplifying element, and an emission antenna for emitting the electromagnetic wave outputted from the electromagnetic wave generation device to the target space. The plasma generation device causes the emission antenna to emit the electromagnetic wave to the target space, thereby generating the electromagnetic wave plasma. The plasma generation device has a characteristic that an output waveform of the electromagnetic wave generation device has a peak during a rise, and is adapted to output the electromagnetic wave to the emission antenna without reducing the peak during the rise of the output waveform.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: March 6, 2018
    Assignee: IMAGINEERING, INC.
    Inventor: Yuji Ikeda
  • Patent number: 9887115
    Abstract: Provided is a substrate processing apparatus that can suppress the amount of inert gas and dry gas used and also can prevent reductions in throughput. A substrate processing apparatus is provided with: a loader module; an opener that removes a cover from a FOUP having a main body, an opening and the cover, to communicate the inside of the FOUP with the inside of the loader module through the opening; an N2 gas supply unit that is attached to the loader module and supplies N2 gas to the inside of the FOUP; and two slide cover plates movable respectively along an opening surface of the opening. The slide cover plates move toward each other until the gap therebetween is 1 mm to 3 mm to shield the opening of the FOUP that is attached to the loader module from the inside of the loader module.
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: February 6, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinji Wakabayashi
  • Patent number: 9879341
    Abstract: Embodiments described herein provide a remote plasma system utilizing a microwave source. Additionally, generation and deposition techniques for 2D transition metal chalcogenides with large area uniformity utilizing microwave assisted generation of radicals is disclosed. Plasma may be generated remotely utilizing the microwave source. A processing platform configured to deposit 2D transition metal chalcogenides is also disclosed.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: January 30, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Kaushal K. Singh, Deepak Jadhav, Ashutosh Agarwal, Ashish Goel, Vijay Parihar, Er-Xuan Ping, Randhir P. S. Thakur
  • Patent number: 9875895
    Abstract: Provided is a substrate processing apparatus.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: January 23, 2018
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Sung-Tae Je, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 9873946
    Abstract: The present invention provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature, UV spectral distribution, and other conditions may be independently modulated in each operation. Operations may be pulsed or even be concurrently applied to the same wafer. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film.
    Type: Grant
    Filed: January 9, 2015
    Date of Patent: January 23, 2018
    Assignee: Novellus Systems, Inc.
    Inventors: Jason Dirk Haverkamp, Dennis M. Hausmann, Kevin M. McLaughlin, Krishnan Shrinivasan, Michael Rivkin, Eugene Smargiassi, Mohamed Sabri
  • Patent number: 9870917
    Abstract: A process tuning kit for use in a chemical deposition apparatus wherein the process tuning kit includes a carrier ring, horseshoes and shims. The horseshoes have the same dimensions and the shims are provided in sets with different thicknesses to control the height of the horseshoes with respect to an upper surface of a pedestal assembly on which the horseshoes and shims are mounted. A semiconductor substrate is transported into a vacuum chamber of the chemical deposition apparatus by the carrier ring which is placed on the horseshoes such that minimum contact area supports lift the substrate from the carrier ring and support the substrate at a predetermined offset with respect to an upper surface of the pedestal assembly. During processing of the substrate, backside deposition can be reduced by using shims of desired thickness to control the predetermined offset.
    Type: Grant
    Filed: February 24, 2016
    Date of Patent: January 16, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Hu Kang, Ishtak Karim, Purushottam Kumar, Jun Qian, Ramesh Chandrasekharan, Adrien LaVoie
  • Patent number: 9869019
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus in which a process with respect to substrates is performed includes a lower chamber having an opened upper portion, the lower chamber having a passage, through which the substrates are accessible, in a side thereof, an external reaction tube closing the opened upper portion of the lower chamber to provide a process space in which the process is performed, a substrate holder on which the one or more substrates are vertically stacked, the substrate holder being movable between a stacking position at which the substrates are stacked within the substrate holder and a process position at which the process with respect to the substrates is performed, a gas supply unit supplying a reaction gas into the process space, and a processing unit disposed outside the external reaction tube to activate the reaction gas, thereby performing the process with respect to the substrates.
    Type: Grant
    Filed: November 23, 2012
    Date of Patent: January 16, 2018
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 9859140
    Abstract: A load lock is provided for a semiconductor substrate processing system having a transport robot mounted therein. The load lock transport supplies substrates directly to a processing chamber without the need for a central transport robot. The load lock transport is a dual element robot designed for minimum clearance and space and operates within a matching load lock chamber of minimum volume.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: January 2, 2018
    Assignee: Brooks Automation, Inc.
    Inventor: Mark A. Talmer
  • Patent number: 9847263
    Abstract: A substrate processing method which can increase the yield by reprocessing a substrate whose processing has been interrupted by a processing interruption command during a substrate processing is disclosed. A substrate processing method performs a predetermined processing of a substrate while sequentially transporting the substrate to a plurality of processing sections according to a preset recipe. The substrate processing method includes processing a substrate in one of the processing sections; interrupting the processing of the substrate by a processing interruption command during processing of the substrate; setting the substrate whose processing has been interrupted in a standby state; and customizing the recipe and performing reprocessing of the processing-interrupted substrate according to the customized recipe, or performing reprocessing of the processing-interrupted substrate according to a preset recipe for reprocessing.
    Type: Grant
    Filed: March 25, 2015
    Date of Patent: December 19, 2017
    Assignee: EBARA CORPORATION
    Inventors: Hirofumi Otaki, Tsuneo Torikoshi
  • Patent number: 9847241
    Abstract: A transport module for loading and unloading a process module of a semiconductor production device includes a housing, which has a chamber that can be evacuated. The chamber has an opening that can be closed in a gas-tight manner by a closure device, which opens out into a first coupling duct associated with the transport module. The first coupling duct is connected with a flange plate using an elastic intermediate element, wherein the flange plate can be seated in a plane parallel, sealing manner on a flange plate of a second coupling duct associated with the process module. After opening the closure device, an evacuated loading and unloading duct to the process module is created. An inner and outer mounting section of the intermediate element is spaced apart from one another in the radial direction, with respect to the axis of the first coupling duct, by a deformation zone.
    Type: Grant
    Filed: April 16, 2014
    Date of Patent: December 19, 2017
    Assignee: AIXTRON SE
    Inventors: Martin Freundt, Walter Franken
  • Patent number: 9839940
    Abstract: A tool for depositing multilayer coatings onto a substrate. The tool includes a housing defining a vacuum chamber connected to a vacuum source, deposition stations each configured to deposit a layer of multilayer coating on the substrate, a curing station, and a contamination reduction device. At least one of the deposition stations is configured to deposit an inorganic layer, while at least one other deposition station is configured to deposit an organic layer. In one tool configuration, the substrate may travel back and forth through the tool as many times as needed to achieve the desired number of layers of multilayer coating. In another, the tool may include numerous housings adjacently spaced such that the substrate may make a single unidirectional pass. The contamination reduction device may be configured as one or more migration control chambers about at least one of the deposition stations, and further includes cooling devices, such as chillers, to reduce the presence of vaporous layer precursors.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: December 12, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Charles C. Bonham, Paul E. Burrows, Xi Chu, Gordon Lee Graff, Mark Edward Gross, Peter Maclyn Martin, Lorenza Moro, Kenneth Jeffrey Nelson, John Chris Pagano, Mac R. Zumhoff
  • Patent number: 9837250
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing substrates includes a chamber body enclosing a processing volume, the chamber body comprising a chamber floor, a chamber wall coupled to the chamber floor, and a chamber lid removably coupled to the chamber wall, wherein at least one of the chamber floor, the chamber wall, and the chamber lid comprise passages for a flow of a thermal control media; a heater plate disposed adjacent to and spaced apart from the chamber floor; a sleeve disposed adjacent to and spaced apart from the chamber wall, the sleeve supported by the heater plate; and a first sealing element disposed at a first interface between the chamber wall and the chamber lid.
    Type: Grant
    Filed: July 16, 2014
    Date of Patent: December 5, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joel M. Huston, Olkan Cuvalci, Michael P. Karazim, Joseph Yudovsky
  • Patent number: 9818633
    Abstract: An EFEM useful for transferring wafers to and from wafer processing modules comprises an enclosure having a controlled environment therein bounded by a front wall, a back wall, first and second side walls, a top wall, and a bottom wall. The first side wall and the second side wall include two or more wafer load ports wherein each wafer load port is adapted to receive a FOUP. The front wall includes wafer ports configured to attach to respective load locks operable to allow a wafer to be transferred to a front wall cluster processing tool. The back wall includes a wafer port adapted to be in operational relationship with a back wall cluster processing tool. A robot in the EFEM enclosure is operable to transfer wafers through the wafer load ports, the first front wall wafer port, the second front wall wafer port, and the back wall wafer port.
    Type: Grant
    Filed: October 17, 2014
    Date of Patent: November 14, 2017
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Thorsten Lill, Vahid Vahedi, Candi Kristoffersen, Andrew D. Bailey, III, Meihua Shen, Rangesh Raghavan, Gary Bultman
  • Patent number: 9815697
    Abstract: The present invention provides apparatus and methods for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom. In some embodiments, an interior-flow substrate includes a porous surface and one or more interior passages that provide reactant gas to an interior portion of a densely packed nanotube forest as it is growing. In some embodiments, a continuous-growth furnace is provided that includes an access port for removing nanotube forests without cooling the furnace substantially. In other embodiments, a nanotube film can be pulled from the nanotube forest without removing the forest from the furnace. A nanotube film loom is described. An apparatus for building layers of nanotube films on a continuous web is described.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: November 14, 2017
    Assignee: GrandNano, LLC
    Inventors: Alexander B. Lemaire, Charles A. Lemaire, Leif T. Stordal, Dale J. Thomforde
  • Patent number: 9818572
    Abstract: A substrate treatment apparatus includes a chamber providing a reaction region and including first and second sides facing each other, a module connected to the first side, an upper electrode in the reaction region, a substrate holder facing the upper electrode, wherein a substrate is disposed on the substrate holder, and first and second points are defined on the substrate, wherein the first point corresponds to a center of the substrate, and the second point is distant from the first point toward the first side, and a feeding line for applying an RF power, the feeding line connected to the upper electrode corresponding to the second point.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: November 14, 2017
    Assignee: JUSUNG ENGINEERING CO., LTD.
    Inventor: Ho Chul Kang
  • Patent number: 9797042
    Abstract: Disclosed are methods of depositing films of material on multiple semiconductor substrates in a multi-station processing chamber. The methods may include loading a first set of one or more substrates into the processing chamber at a first set of one or more process stations and depositing film material onto the first set of substrates by performing N cycles of film deposition. Thereafter, the methods may further include transferring the first set of substrates from the first set of process stations to a second set of one or more process stations, loading a second set of one or more substrates at the first set of process stations, and depositing film material onto the first and second sets of substrates by performing N? cycles of film deposition, wherein N? is not equal to N. Also disclosed are apparatuses and computer-readable media which may be used to perform similar operations.
    Type: Grant
    Filed: August 8, 2014
    Date of Patent: October 24, 2017
    Assignee: Lam Research Corporation
    Inventors: Romuald Nowak, Hu Kang, Adrien LaVoie, Jun Qian
  • Patent number: 9799554
    Abstract: A method for coating substrates provided with vias uses a first step in which the substrate is conditioned and a second step in which the substrate is coated with an electrically insulating material such that the vias are filled up completely.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: October 24, 2017
    Assignee: SUSS MicroTec Lithography GmbH
    Inventors: Katrin Fischer, Florian Palitschka, Darren Robert Southworth, William Whitney
  • Patent number: 9790619
    Abstract: A method is disclosed for producing a high quality bulk single crystal of silicon carbide in a seeded growth system by reducing the separation between a silicon carbide seed crystal and a seed holder until the conductive heat transfer between the seed crystal and the seed holder dominates the radiative heat transfer between the seed crystal and the seed holder over substantially the entire seed crystal surface that is adjacent the seed holder.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: October 17, 2017
    Assignee: Cree, Inc.
    Inventors: Robert Tyler Leonard, Adrian Powell, Valeri F. Tsvetkov
  • Patent number: 9793107
    Abstract: A method of manufacturing a semiconductor device, includes: forming a thin film containing silicon, oxygen and carbon or a thin film containing silicon, oxygen, carbon and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a precursor gas serving as a silicon source and a carbon source or a precursor gas serving as a silicon source but no carbon source, and a first catalyst gas to the substrate; supplying an oxidizing gas and a second catalyst gas to the substrate; and supplying a modifying gas containing at least one selected from the group consisting of carbon and nitrogen to the substrate.
    Type: Grant
    Filed: March 27, 2014
    Date of Patent: October 17, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki Noda, Satoshi Shimamoto, Shingo Nohara, Yoshiro Hirose, Kiyohiko Maeda
  • Patent number: 9780390
    Abstract: The invention is equipped with a hydrophilic group generating gas supply portion, an installation stand, an irradiation device, and a flow generation portion. The hydrophilic group generating gas supply portion supplies a hydrophilic group generating gas into the treatment chamber. The installation stand is equipped with an installation plate and a support member. The installation plate has a ventilation portion, and the support member is provided protrusively from the installation plate, and supports the workpiece with an air gap left between the workpiece and the installation plate. The irradiation device irradiates the workpiece with an energy wave that induces activation of the hydrophilic group generating gas. The flow generation portion generates a flow of at least part of the activated hydrophilic group generating gas such that the hydrophilic group generating gas flows via the ventilation portion of the installation plate and flows around into the air gap.
    Type: Grant
    Filed: February 13, 2015
    Date of Patent: October 3, 2017
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Takashi Ikejiri
  • Patent number: 9765434
    Abstract: Apparatus and methods for processing a semiconductor wafer in which a sensor (e.g., a contact thermocouple) is positioned in the gas distribution assembly measures temperature and/or a film parameter before, during and/or after deposition are described.
    Type: Grant
    Filed: April 17, 2015
    Date of Patent: September 19, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph Yudovsky, Kevin Griffin
  • Patent number: 9754806
    Abstract: Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers.
    Type: Grant
    Filed: December 23, 2014
    Date of Patent: September 5, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-San Lee, Chang-ki Hong, Kun-tack Lee, Jeong-nam Han
  • Patent number: 9748124
    Abstract: An operating ratio is improved in a vacuum processing apparatus to which a plurality of vacuum transfer chambers are connected through a vacuum transfer intermediate chamber. In a method of operating the vacuum processing apparatus having the plurality of vacuum transfer chambers connected through the vacuum transfer intermediate chamber and a plurality of vacuum processing vessels connected to the vacuum transfer chambers, respectively, the plurality of vacuum transfer chambers are made to communicate through the vacuum transfer intermediate chamber, a purge gas is supplied to the vacuum transfer chamber connected to a lock chamber in the plurality of vacuum transfer chambers, an inside of the transfer chamber of the vacuum transfer chamber which is far from the lock chamber is decompressed/exhausted, and pressures in all the transfer chambers of the plurality of vacuum transfer chambers are raised to be higher than the pressure in the vacuum processing vessel.
    Type: Grant
    Filed: February 19, 2014
    Date of Patent: August 29, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoichi Isomura, Yutaka Kudo, Takahiro Shimomura
  • Patent number: 9748125
    Abstract: A processing chamber having a plurality of movable substrate carriers stacked therein for continuously processing a plurality of substrates is provided. The movable substrate carrier is capable of being transported from outside of the processing chamber, e.g., being transferred from a load luck chamber, into the processing chamber and out of the processing chamber, e.g., being transferred into another load luck chamber. Process gases delivered into the processing chamber are spatially separated into a plurality of processing slots, and/or temporally controlled. The processing chamber can be part of a multi-chamber substrate processing system.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: August 29, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Nag B. Patibandla, Toshiaki Fujita, Ralf Hofmann, Pravin K. Narwankar, Jeonghoon Oh, Srinivas Satya, Li-Qun Xia
  • Patent number: 9732881
    Abstract: Disclosed is a gate valve that opens/closes a plurality of vertically arranged openings by a plurality of valve bodies when conveying a plurality of vertically arranged substrates to an inside of a vacuum container. The gate valve includes: a housing including the plurality of openings formed therein; a supporting member configured to support the plurality of valve bodies; a driving mechanism configured to move the plurality of valve bodies via the supporting member such that the plurality of openings is opened/closed; and a plurality of guide mechanisms arranged to correspond to the plurality of valve bodies, respectively. Each of the plurality of guide mechanisms includes: a vertically stretchable bellows fixed to the housing; and a guide member contained in the bellows and configured to guide the supporting member inside the bellows.
    Type: Grant
    Filed: September 1, 2015
    Date of Patent: August 15, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Shinji Wakabayashi, Keisuke Kondoh, Norihiko Tsuji
  • Patent number: 9728435
    Abstract: A plating apparatus allows a substrate holder to be serviced easily while ensuring easy access to the substrate holder and while a substrate is being processed in the plating apparatus. The plating apparatus includes a plating section for plating a substrate, a substrate holder for holding the substrate, a substrate holder transporter for holding and transporting the substrate holder, a stocker for storing the substrate holder, and a stocker setting section for storing the stocker therein. The stocker includes a moving mechanism for moving the stocker into and out of the stocker setting section.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: August 8, 2017
    Assignee: Ebara Corporation
    Inventor: Yoshio Minami
  • Patent number: 9721826
    Abstract: A wafer supporting structure in semiconductor manufacturing, and a device and a method for manufacturing semiconductor are provided. In accordance with some embodiments of the instant disclosure, a wafer supporting structure in semiconductor manufacturing includes a transparent ring and at least two arms. The arms are connected to the transparent ring.
    Type: Grant
    Filed: January 26, 2016
    Date of Patent: August 1, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Yu Ma, Yii-Chi Lin, Zheng-Yang Pan, Chia-Chiung Lo
  • Patent number: 9716021
    Abstract: Disclosed is a substrate heat treatment apparatus. The apparatus includes: a conveyance storage unit which includes a first storage section and a second storage section each of which stores a plurality of conveyance containers, and a conveyance mechanism configured to convey the conveyance containers, each of the conveyance containers accommodating a plurality of wafers; and a heat treatment unit including a heat treatment furnace which accommodates a holder configured to hold the plurality of wafers in multiple stages, and performs the heat treatment on the wafers. A mounting stage of a transfer section is provided below the first storage section in the conveyance storage unit. On the mounting stage, the conveyance containers are mounted so that the wafers within the conveyance containers are transferred to the holder in the heat treatment unit.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: July 25, 2017
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Kikuchi
  • Patent number: 9695509
    Abstract: A substrate processing apparatus includes a processing vessel configured to process a substrate; a first purging part configured to perform a first purge to supply inert gas at a first flow rate into a substrate container accommodating the substrate; and a second purging part configured to perform a second purge to supply inert gas at a second flow rate into the substrate container, the second flow rate being lower than the first flow rate.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: July 4, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Makoto Hirano, Akinari Hayashi
  • Patent number: 9695508
    Abstract: Embodiments described herein relate to an apparatus and method for lining a processing region within a chamber. In one embodiment, a modular liner assembly for a substrate processing chamber is provided. The modular liner assembly includes a first liner and a second liner, each of the first liner and second liner comprising an annular body sized to be received in a processing volume of a chamber, and at least a third liner comprising a body that extends through the first liner and the second liner, the third liner having a first end disposed in the process volume and a second end disposed outside of the chamber.
    Type: Grant
    Filed: March 5, 2015
    Date of Patent: July 4, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: David K. Carlson, Mehmet Tugrul Samir, Nyi O. Myo
  • Patent number: 9694436
    Abstract: The use of lead-free solder (flux) in Wafer Level Packaging applications requires more control of the temperature and environment during the reflow process. The flux needs to be applied by spin coating, reflowed in a controlled environment and then removed with a cleaning process. Incorporating these three processes in one compact system provides an efficient and economical solution. The unique design of the reflow oven consists of multiple hotplates and one cold plate, arranged in a circle to allow wafers to proceed through the oven in a rotary fashion.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: July 4, 2017
    Assignee: VEECO PRECISION SURFACE PROCESSING LLC
    Inventors: William Gilbert Breingan, Lev Rapoport, John Clark, John Taddei, Laura Mauer
  • Patent number: 9698038
    Abstract: An adapter tool that is configured to be attached to a loadport of a wafer handling system includes a support member, and first and second guiding elements supported by the support member. The first and second guiding elements are arranged for placing a first wafer magazine and a second wafer magazine, respectively. The adapter tool further includes a housing supported by the support member that is configured to house the first and the second wafer magazines, respectively, and first and second openings in the housing, respectively. The first and second openings are aligned with the first and second guiding elements.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: July 4, 2017
    Assignee: Infineon Technologies AG
    Inventors: Michael Larisch, Ulrich Beck, Michael Walser
  • Patent number: 9691649
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: June 27, 2017
    Assignee: Brooks Automation, Inc.
    Inventors: Gee Sun Hoey, Terry Bluck, Hoang Huy Vu, Jimin Ryu
  • Patent number: 9643877
    Abstract: Presented is a method for the surface treatment of objects utilizing thermal plasma, including cascade plasma, and a wrap, such as tape or foil, where the tape or foil attracts the specific part of the plasma which produces a heat necessary to produce the desired treatment. The specific surface treatment may include, but is not limited to, hard-facing, brazing, welding, other types of joining operations, glass bending or forming, glass texturing, coating and surface reconditioning.
    Type: Grant
    Filed: December 22, 2014
    Date of Patent: May 9, 2017
    Assignee: MHI Health Devices, LLC.
    Inventors: Jainagesh Sekhar, Michael Connelly
  • Patent number: 9646809
    Abstract: There is described an intaglio printing plate coating apparatus (1) comprising a vacuum chamber (3) having an inner space (30) adapted to receive at least one intaglio printing plate (10) to be coated, a vacuum system (4) coupled to the vacuum chamber (3) adapted to create vacuum in the inner space (30) of the vacuum chamber (3), and a physical vapour deposition (PVD) system (5) adapted to perform deposition of wear-resistant coating material under vacuum onto an engraved surface (10a) of the intaglio printing plate (10), which physical vapour deposition system (5) includes at least one coating material target (51, 52) comprising a source of the wear-resistant coating material to be deposited onto the engraved surface (10a) of the intaglio printing plate (10).
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: May 9, 2017
    Assignee: KBA-NotaSys SA
    Inventors: François Gremion, Laurent Claude
  • Patent number: 9637820
    Abstract: Certain example embodiments relate to an in-line scalable system that may be used in the combustion deposition depositing of thin films. The systems of certain example embodiments may comprise one or more modules, with each such module including at least one burner and at least one high volume cooling section. In certain example implementations, multiple burners and multiple cooling sections are provided to a single module in alternating order. The systems of certain example embodiments may, in addition or in the alternative, comprise a combined flame guard and exhaust system. The combined flame guard and exhaust system of certain example embodiments advantageously may provide a means to reduce the amount of interference of the deposition process by ambient conditions, improve flame uniformity in the deposition zone, contain and exhaust combustion products while reducing restrictions to the stable operating space of the combustion deposition process, etc.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: May 2, 2017
    Assignee: Guardian Industries Corp.
    Inventors: David D. McLean, Ryan L. Dear
  • Patent number: 9620359
    Abstract: The Siemens process for deposition of polycrystalline silicon in the form of rods in a sealed reactor is improved by, after introduction of deposition gas has ceased, introducing a ventilating gas into the partially sealed reactor, withdrawing a gas stream from the reactor, and monitoring the components in the gas stream withdrawn until a desired concentration of one or more components is reached, and opening the reactor to remove the rods.
    Type: Grant
    Filed: January 13, 2014
    Date of Patent: April 11, 2017
    Assignee: Wacker Chemie AG
    Inventors: Barbara Mueller, Thomas Koch
  • Patent number: 9607869
    Abstract: An object of the present disclosure is to reduce a footprint. A bonding system of the present disclosure includes a first processing station, a second processing station, and a carry-in/out station. The first processing station includes a first conveyance region, a coating device, a heating device, and a first delivery block. The second processing station includes a plurality of bonding devices, a second conveyance region, and a second delivery block. Each of the plurality of bonding devices bonds the first substrate to the second substrate. The second conveyance region is a region configured to convey the first substrate and the second substrate to and from the plurality of bonding devices. The second delivery block delivers the first substrate, the second substrate and the superimposed substrate between the first conveyance region and the second conveyance region.
    Type: Grant
    Filed: August 12, 2014
    Date of Patent: March 28, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Masataka Matsunaga, Naoto Yoshitaka, Satoshi Nishimura
  • Patent number: 9589819
    Abstract: A substrate processing apparatus includes a robot having: an end effector, a first link structure including a fixing portion having a front end to which the end effector is fixed, a support portion, and a first hole formed in the support portion, a second link structure including a second hole, and a shaft inserted into the first and second holes, the shaft including an upper end having a height equal to or smaller than a height of the substrate mounted on the end effector; a vacuum transfer chamber, wherein the robot is installed in the vacuum transfer chamber; at least one process chamber disposed adjacent to the vacuum transfer chamber and configured to thermally process the substrate transferred from the vacuum transfer chamber by the robot; a module including one or more process chambers; and a cooling mechanism installed above the first link structure or the shaft.
    Type: Grant
    Filed: March 10, 2016
    Date of Patent: March 7, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC, INC.
    Inventor: Satoshi Takano
  • Patent number: 9579804
    Abstract: Described herein is a conveyance robot. In certain aspects, a conveyance robot can include a first link member configured to be rotatable about a first axis, a second link member configured to be rotatable about a second axis positioned at a predetermined distance relative to the first axis, a holding member configured to be capable of holding an article and rotating about a third axis positioned at a predetermined distance relative to the second axis, an ascending and descending drive unit for driving at least the holding member to ascend and descend. In certain aspects, an arm link mechanism can be included. The arm link mechanism can be constituted by connecting the first link member, the second link member, and the holding member in this order, and the ascending and descending drive unit is placed between the first link member and the holding member so as to form a part of the arm link mechanism.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: February 28, 2017
    Assignee: KAWASAKI JUKOGYO KABUSHIKI KAISHA
    Inventors: Isao Kato, Shigeki Ono