By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 12217984
    Abstract: A wafer processing apparatus may include a plurality of equipment front end modules (EFEMs), a wafer transfer chamber, a wafer processing chamber, and a wafer transfer arm. Each of the plurality of EFEMs may include an EFEM chamber, a plurality of load ports provided at a side of the EFEM chamber, and a load lock provided at a side of the EFEM chamber to overlap with at least one of the plurality of load ports in a vertical direction.
    Type: Grant
    Filed: April 18, 2022
    Date of Patent: February 4, 2025
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jinhyuk Choi, Myungki Song, Kongwoo Lee, Kyusang Lee, Beomsoo Hwang, Keonwoo Kim, Jonghwi Seo
  • Patent number: 12215892
    Abstract: The invention relates to a method for testing an electrode arrangement (1) for generating a non-thermal plasma, having the following steps: determining at least one power parameter which characterizes a plasma power of the electrode arrangement (1); comparing the at least one power parameter with at least one predetermined target parameter value, and obtaining a comparison result; assessing the functionality of the electrode arrangement (1) on the basis of the comparison result, and preferably selecting at least one action according to the comparison result.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: February 4, 2025
    Assignees: terraplasma GmbH, terraplasma medical GmbH
    Inventors: Julia Zimmermann, Michael Linner, Sylvia Cantzler, Gregor Morfill, Hannes Weilemann, Maximilian Cantzler
  • Patent number: 12209914
    Abstract: A temperature sensor fitting for use with a temperature sensor that detects the temperature of ingredients that are inside a heating vessel of a kitchen device, the temperature sensor fitting comprising: a collar comprising an inner threaded portion; a PTFE insulator comprising an outer threaded portion that corresponds with the inner threaded portion of the collar, and further comprises an inner threaded portion; a metal cap comprising an outer threaded portion that corresponds with the inner threaded portion of the PTFE insulator; wherein the PTFE insulator provides thermal isolation between a temperature sensor and a heating plate of the kitchen device; and wherein the collar comprises an outer surface that corresponds with an edge of a floor of a heating vessel of a kitchen device and the outer surface is suitable for welding to the edge of the floor to form a weld seal.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: January 28, 2025
    Assignee: BREVILLE PTY LIMITED
    Inventors: Eddie Siu, Richard Llewelyn Jones, Warren Preston, Con Psarlogos
  • Patent number: 12211712
    Abstract: An apparatus for manufacturing a display device includes a chamber, a heating member disposed inside the chamber to provide a thermal atmosphere inside the chamber, where the heating member includes a first heater and a second heater facing each other, a height adjustment member including an end disposed between the first heater and the second heater, and a driving unit which drives the end of the height adjustment member to move up or down such that the end of the height adjustment member is located at one of a first height and a second height which are different heights between the first heater and the second heater. Each of the first height and the second height is different from a height of a top surface of the first heater, and different from a height of a bottom surface of the second heater facing the top surface of the first heater.
    Type: Grant
    Filed: February 23, 2024
    Date of Patent: January 28, 2025
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jong Jang Park, Seok Soon Back, Sung Hune Yoo
  • Patent number: 12209327
    Abstract: A PVT method is utilized for production of single crystals in an apparatus, which comprises a growth cell, a process chamber in which the growth cell is located and a heating device surrounding the process chamber for heating the growth cell. In this method, a source material and a seed are introduced into the growth cell, and the process chamber is filled with a process gas and the growth cell is heated, causing the source material to sublimated and resublimated at the seed. An apparatus designed for production of single crystals using the PVT method includes a highly heatable growth cell for accommodation of a source material and a seed, a process chamber accommodating the growth cell with a connection to a process gas source for filling it with a process gas, and a heating device for heating the growth cell.
    Type: Grant
    Filed: September 16, 2022
    Date of Patent: January 28, 2025
    Assignee: PVA TEPLA AG
    Inventors: Michael Schöler, Lorenz Vogel, Karsten Viehmann, Tomas Baumecker
  • Patent number: 12194566
    Abstract: A method for producing graphene, configured for forming a graphene layer on a surface of an object. The method includes steps of: depositing a poly-p-xylene material layer on the surface: and converting the poly-p-xylene material layer into a graphene layer by using a laser sintering process or a plasma-assisted sintering process.
    Type: Grant
    Filed: March 3, 2023
    Date of Patent: January 14, 2025
    Assignee: ASUSTEK COMPUTER INC.
    Inventors: Yun-Wei Tsai, Hsien-Yeh Chen, Shu-Man Hu, Chin-Yun Lee, Yi-Chang Wu, Yen-Hsun Lin, Kuo-Wei Tsao, Chi-Liang Tsai
  • Patent number: 12185433
    Abstract: A substrate support assembly includes a plate structure and an insulator structure. The plate structure includes an upper plate and a lower plate. The lower plate includes a lower plate structure surface. The insulator structure is disposed beneath the plate structure. The insulator structure includes a lower insulator structure surface and an upper insulator structure surface. A first portion of the upper insulator structure surface is recessed with respect to a second portion of the upper insulator structure surface. The first portion of the upper insulator structure surface forms an interior volume with the lower plate structure surface.
    Type: Grant
    Filed: May 15, 2023
    Date of Patent: December 31, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Denis Martin Koosau, Suresh Gupta, Martin Perez-Guzman, Ashish Goel
  • Patent number: 12176226
    Abstract: A method for temperature control includes: acquiring the present temperature of a reaction window in a process chamber of a semiconductor machine; comparing the present temperature with the preset temperature to acquire a comparison result; and adjusting the exhaust amount of an exhaust passage of the process chamber based on the comparison result to control the temperature of the reaction window.
    Type: Grant
    Filed: January 12, 2022
    Date of Patent: December 24, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Guoqing Zhang, Su Yang, Duocai Sun, Xingfeng Hong, Yiqun Li
  • Patent number: 12170186
    Abstract: Methods and apparatus for substrate processing are described. In some embodiments a showerhead assembly includes a heated showerhead having a heater and a gas diffusion plate coupled to the heater, the gas diffusion plate having a plurality of channels extending through the gas diffusion plate; an ion filter spaced from the heated showerhead, the ion filter having a first side facing the heated showerhead and a second side opposite the first side, the ion filter having a plurality of channels extending through the ion filter; a heat transfer ring in contact between the heated showerhead and the ion filter, the heat transfer ring being thermally conductive and electrically insulative, the heat transfer ring comprised of a plurality of elements spaced from one another along an interface between the heated showerhead and the ion filter; and a remote plasma region defined between the heated showerhead and the ion filter.
    Type: Grant
    Filed: April 15, 2022
    Date of Patent: December 17, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Anantha K. Subramani, Seyyed Abdolreza Fazeli, Yang Guo, Chandrashekara Baginagere, Ramcharan Sundar, Yunho Kim, Rajasekhar Patibandla
  • Patent number: 12165907
    Abstract: Embodiments of the present disclosure generally relate to apparatus for substrate processing, and more specifically to apparatus for rotating substrates and to uses thereof. In an embodiment, an apparatus for rotating a substrate is provided. The apparatus includes a levitatable rotor comprising a plurality of magnets embedded therein, a plurality of gas bearings positioned to levitate the levitatable rotor, and a stator magnetically coupled to the levitatable rotor, the stator for producing a rotating magnetic field. Apparatus for processing a substrate with the apparatus for rotating substrates as well as methods of use are also described.
    Type: Grant
    Filed: November 19, 2020
    Date of Patent: December 10, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Giridhar Kamesh, Vinodh Ramachandran, Chaitanya A. Prasad, Mohammad Aamir, Daniel C. Glover
  • Patent number: 12156673
    Abstract: A surgical instrument includes a handpiece including a housing and a motor disposed in the housing. An end effector assembly is operably coupled to the handpiece. The motor actuates the end effector assembly. A temperature measurement assembly is in the housing. The temperature measurement assembly measures a temperature of the motor. The temperature measurement assembly includes a printed circuit board (PCB) including an orifice extending through the PCB. An infrared (IR) sensor is on the PCB. The IR sensor transmits IR light to a temperature measurement location of the motor through the orifice of the PCB. The IR sensor detects IR light reflected from the temperature measurement location of the motor to determine a temperature of the temperature measurement location of the motor.
    Type: Grant
    Filed: September 10, 2021
    Date of Patent: December 3, 2024
    Assignee: Covidien LP
    Inventors: Aleksandar Marinkovic, Dale E. Whipple, Jordan A. Whisler
  • Patent number: 12159785
    Abstract: In one example, a process chamber comprises a lid assembly, a first gas supply, second gas supply, a chamber body, and a substrate support. The lid assembly comprises a gas box, a gas conduit passing through the gas box, a blocker plate, and a showerhead. The gas box comprises a gas distribution plenum, and a distribution plate comprising a plurality of holes aligned with the gas distribution plenum. The blocker plate is coupled to the gas box forming a first plenum. The showerhead is coupled to the blocker plate forming a second plenum. The first gas supply is coupled to the gas distribution plenum, and the second gas supply system is coupled to the gas conduit. The chamber body is coupled to the showerhead, and the substrate support assembly is disposed within an interior volume of the chamber body, and is configured to support a substrate during processing.
    Type: Grant
    Filed: September 11, 2023
    Date of Patent: December 3, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Daemian Raj Benjamin Raj, Gregory Eugene Chichkanoff, Shailendra Srivastava, Sai Susmita Addepalli, Nikhil Sudhindrarao Jorapur, Abhigyan Keshri, Allison Yau
  • Patent number: 12157944
    Abstract: A method of forming a diffusion barrier layer on a dielectric or semiconductor substrate by a wet process. The method includes the steps of treating the dielectric or semiconductor substrate with an aqueous pretreatment solution comprising one or more adsorption promoting ingredients capable of preparing the substrate for deposition of the diffusion barrier layer thereon; and contacting the treated dielectric or semiconductor substrate with a deposition solution comprising manganese compounds and an inorganic pH buffer (optionally, with one or more doping metals) to the diffusion barrier layer thereon, wherein the diffusion barrier layer comprises manganese oxide. Also included is a two-part kit for treating a dielectric or semiconductor substrate to form a diffusion barrier layer thereon.
    Type: Grant
    Filed: September 6, 2023
    Date of Patent: December 3, 2024
    Assignee: MacDermid Enthone Inc.
    Inventors: Richard W. Hurtubise, Eric Yakobson, Shaopeng Sun, Taylor L Wilkins, Elie H. Najjar, Wenbo Shao
  • Patent number: 12148597
    Abstract: The present technology includes improved gas distribution designs for forming uniform plasmas during semiconductor processing operations or for treating the interior of semiconductor processing chambers. While conventional gas distribution assemblies may receive a specific reactant or reactant ratio which is then distributed into the plasma region, the presently described technology allows for improved control of the reactant input distribution. The technology allows for separate flows of reactants to different regions of the plasma to offset any irregularities observed in process uniformity. A first precursor may be delivered to the center of the plasma above the center of the substrate/pedestal while a second precursor may be delivered to an outer portion of the plasma above an outer portion of the substrate/pedestal. In so doing, a substrate residing on the pedestal may experience a more uniform etch or deposition profile across the entire surface.
    Type: Grant
    Filed: February 13, 2023
    Date of Patent: November 19, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Kenneth D. Schatz, Alan Tso, Marlin Wijekoon, Dimitri Kioussis
  • Patent number: 12137601
    Abstract: An organic light-emitting diode (OLED) deposition system includes two deposition chambers, a transfer chamber between the two deposition chambers, a metrology system having one or more sensors to perform measurements of the workpiece within the transfer chamber, and a control system to cause the system to form an organic light-emitting diode layer stack on the workpiece. Vacuum is maintained around the workpiece while the workpiece is transferred between the two deposition chambers and while retaining the workpiece within the transfer chamber. The control system is configured to cause the two deposition chambers to deposit two layers of organic material onto the workpiece, and to receive a first plurality of measurements of the workpiece in the transfer chamber from the metrology system.
    Type: Grant
    Filed: June 8, 2023
    Date of Patent: November 5, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Yeishin Tung, Byung Sung Kwak, Robert Jan Visser, Gangadhar Banappanavar, Dinesh Kabra
  • Patent number: 12116666
    Abstract: Described herein is a technique capable of shortening the time required to reduce the oxygen concentration in a transfer chamber. According to the technique described herein, there is provided a substrate processing apparatus including: a transfer chamber wherein a substrate from a container is transported; a transfer robot configured to transfer the substrate through the transfer chamber; a purge gas supply mechanism configured to supply a purge gas into the transfer chamber; and a pressure control mechanism configured to control an inner pressure of the transfer chamber wherein the pressure control mechanism is provided at an exhaust channel wherethrough an inner atmosphere of the transfer chamber is exhausted, the pressure control mechanism including: an exhaust damper configured to fully open or fully close the exhaust channel; and an adjusting damper provided in the exhaust damper and configured to maintain the inner pressure of the transfer chamber at predetermined pressure.
    Type: Grant
    Filed: January 25, 2018
    Date of Patent: October 15, 2024
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Daigi Kamimura, Takeshi Ito, Tomoshi Taniyama
  • Patent number: 12117736
    Abstract: A lithographic apparatus comprising: a clamping surface for supporting a substrate, wherein a property of the clamping surface is defined by at least one clamping surface parameter, and wherein the property of the clamping surface has been selected to exhibit low wear; a clamping apparatus for actuating a clamping operation between the clamping surface and the substrate, wherein the clamping operation is defined at least in part by at least one interface characteristic between the clamping surface and the substrate; and a processing station, operable to apply an adjustment to a first property of the substrate to optimize at least one interface characteristic of a particular clamping operation in dependence on the clamping surface parameter and at least one substrate surface parameter which defines a second property of the substrate.
    Type: Grant
    Filed: June 5, 2020
    Date of Patent: October 15, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Marcus Adrianus Van De Kerkhof, Satish Achanta, Johannes Hubertus Josephina Moors, Vadim Yevgenyevich Banine, Stef Marten Johan Janssens, Andrey Nikipelov
  • Patent number: 12106994
    Abstract: An electrostatic chuck includes: a disk-shaped ceramic plate having a wafer placement surface on a surface thereof; an electrostatic electrode embedded in the ceramic plate; and gas grooves that are divided in a plurality of zones when the ceramic plate is seen from above and each of which is independently provided in the wafer placement surface so as to extend from one to the other of a pair of gas supply/discharge openings for a corresponding one of the zones. A pattern in which a gas is supplied to each of the gas grooves provided for a corresponding one of the zones is selectable between a first pattern in which the gas flows from one to the other of the pair of gas supply/discharge openings and a second pattern in which the gas flows from the other to the one of the pair of gas supply/discharge openings.
    Type: Grant
    Filed: September 19, 2022
    Date of Patent: October 1, 2024
    Assignee: NGK INSULATORS, LTD.
    Inventor: Tatsuya Kuno
  • Patent number: 12100612
    Abstract: A plate-shaped workpiece holding tool includes a holding base having a joint port coupled to a suction source and a holding surface for holding the plate-shaped workpiece thereon, a first O-ring disposed on the holding surface, a second O-ring disposed on the holding surface radially inwardly of the first O-ring, a suction port that is open in the holding surface between the first O-ring and the second O-ring and held in fluid communication with the joint port, and a liquid supply mechanism for forming a liquid seal between the holding surface and the plate-shaped workpiece radially outwardly of the first O-ring.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: September 24, 2024
    Assignee: DISCO CORPORATION
    Inventor: Shungo Yoshii
  • Patent number: 12077857
    Abstract: Exemplary embodiments of the disclosure provide improved reactor systems, assemblies, and methods for controlling a temperature within the reactor system, such as a temperature of a gas supply unit. Exemplary systems and methods employ an exhaust unit to cause movement of a fluid over a portion of the gas supply unit to better control the temperature of the gas supply unit.
    Type: Grant
    Filed: July 14, 2023
    Date of Patent: September 3, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: SungHoon Jun, HeeChul Jung, YonJong Jeon
  • Patent number: 12080568
    Abstract: Support plates for localized heating in thermal processing systems to uniformly heat workpieces are provided. In one example implementation, localized heating is achieved by modifying a heat transmittance of a support plate such that one or more portions of the support plate proximate the areas that cause cold spots transmit more heat than the rest of the support plate. For example, the one or more portions (e.g., areas proximate to one or more support pins) of the support plate have a higher heat transmittance (e.g., a higher optical transmission) than the rest of the support plate. In another example implementation, localized heating is achieved by heating a workpiece via a coherent light source through a transmissive support structure (e.g., one or more support pins, or a ring support) in addition to heating the workpiece globally by light from heat sources.
    Type: Grant
    Filed: December 5, 2022
    Date of Patent: September 3, 2024
    Assignees: Mattson Technology, Inc., Beijing E-Town Semiconductor Technology Co., Ltd.
    Inventors: Rolf Bremensdorfer, Johannes Keppler, Michael X. Yang, Thorsten Hülsmann
  • Patent number: 12077846
    Abstract: This disclosure relates to apparatus and methods for sublimation and deposition of chemicals. In particular aspects, this disclosure relates to apparatus and methods for patterned sublimation and deposition of chemicals for use in matrix assisted laser desorption ionization imaging mass spectrometry (MALDI IMS). In specific aspects, the apparatus comprises a vacuum chamber and a template comprising a planar surface containing the chemical to be sublimed, where the template is located within the vacuum chamber.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: September 3, 2024
    Assignee: VANDERBILT UNIVERSITY
    Inventors: David Anderson, Eric Spivey, Richard Caprioli
  • Patent number: 12078673
    Abstract: Provided is a workpiece positioning mechanism and a workpiece inspection apparatus, each of which stably maintains precise positional relationship between a tray with a workpiece placed thereon and an inspection device for the workpiece by a simple structure. A workpiece positioning mechanism includes: a tray on which a workpiece is placed; a frame in which a notch defining a height position of the tray positioned in an inspection region is formed; a plurality of pins that are provided on the frame and position the tray; and a bias applier that positions the tray in the inspection region by biasing a bottom surface.
    Type: Grant
    Filed: April 29, 2024
    Date of Patent: September 3, 2024
    Assignee: TAKAOKA TOKO CO., LTD.
    Inventors: Taichi Aranami, Shohei Suzuki
  • Patent number: 12074010
    Abstract: Methods and apparatus for coating processing reactor component parts are provided herein. In some embodiments, a part coating reactor includes: a lower body and a lid assembly that together define and enclose an interior volume; one or more heaters disposed in the lid assembly; one or more coolant channels disposed in the lid assembly to flow a heat transfer medium therethrough; a plurality of gas passages disposed through the lid assembly to facilitate providing one or more gases to the interior volume, wherein the plurality of gas passages include a plurality of fluidly independent plenums disposed in the lid assembly; and one or more mounting brackets to facilitate coupling a workpiece to the lid assembly.
    Type: Grant
    Filed: September 9, 2021
    Date of Patent: August 27, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Michael R. Rice, Hanish Kumar Panavalappil Kumarankutty, Steven D. Marcus, Kirubanandan Naina Shanmugam, Sriharsha Dharmapura Sathyanarayanamurthy, Madhukar Krishna, Shivaprakash Padadayya Hiremath, Senthil Kumar Nattamai Subramanian, Sankar Menon Cherubala Pathayapura
  • Patent number: 12068143
    Abstract: A temperature adjustment method includes adjusting a temperature of a placing table on which a target object is placed. The placing table is divided into regions and equipped with temperature detectors. The regions are set along a placing surface of the placing table. The temperature detectors are disposed in the regions, respectively. The placing table is equipped with heat exchange chambers each configured to perform heat exchange by a coolant. The heat exchange chambers are disposed in the regions, respectively. The adjusting of the temperature of the placing table includes adjusting a pressure of the coolant such that the temperature of the placing table reaches a first temperature range; and individually adjusting, after the adjusting of the pressure of the coolant, flow rates of the coolant supplied to the heat exchange chambers, respectively, such that all of temperatures measured by the temperature detectors reach the first temperature range.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: August 20, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shin Yamaguchi, Akiyoshi Mitsumori
  • Patent number: 12069870
    Abstract: A synapse crossbar array device is provided. The synapse crossbar array device includes a plurality of Indium-Gallium-Zinc-Oxide (IGZO) thin film transistors (TFTs) and a plurality of IGZO resistive synapses. Each IGZO resistive synapse includes a IGZO resistive layer, a first electrical contact electrically coupled to one of the plurality of IGZO TFTs and a second electrical contact electrically connected to one of a plurality of column connection lines. The first electrical contact and the second electrical contact of each IGZO resistive synapse are disposed on the IGZO resistive layer of the resistive synapse. The synapse crossbar array device includes IGZO resistive synapses that have, each of them, an established resistance value. The synapse crossbar array may be fully transparent and may be integrated into the displays with which portable devices are provided.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: August 20, 2024
    Assignee: CYBERSWARM, INC.
    Inventors: Viorel-Georgel Dumitru, Cristina Besleaga Stan, Alin Velea, Aurelian-Catalin Galca
  • Patent number: 12057314
    Abstract: A method of forming a silicon germanium layer on a surface of a substrate and a system for forming a silicon germanium layer are disclosed. Examples of the disclosure provide a method that includes providing a plurality of growth precursors to control and/or promote parasitic gas-phase and surface reactions, such that greater control of the film (e.g., thickness and/or composition) uniformity can be realized.
    Type: Grant
    Filed: May 12, 2021
    Date of Patent: August 6, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Amir Kajbafvala, Peter Westrom, Joe Margetis, Xin Sun, Caleb Miskin, Yen Lin Leow, Yanfu Lu
  • Patent number: 12043895
    Abstract: Methods of introducing precursors through a segmented showerhead are provided herein. In some embodiments, a method of introducing precursors through a segmented showerhead having a plurality of gas delivery portions that are fluidly isolated includes heating a first gas delivery portion to a first temperature; and simultaneously heating a second gas delivery portion to a second temperature different than the first temperature, wherein each of the first and second gas delivery portions (i) have a wedge shaped body that defines a plenum, (ii) are coplanar, and (iii) together form a showerhead having a circular shape.
    Type: Grant
    Filed: December 1, 2022
    Date of Patent: July 23, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander Lerner, Prashanth Kothnur, Roey Shaviv, Satish Radhakrishnan
  • Patent number: 12046460
    Abstract: Methods, systems, and apparatus for controlling substrate temperature include: monitoring a temperature in each zone of a plurality of zones of a substrate support, the substrate support having a support surface for supporting a substrate, wherein the support surface is opposed to a sputtering target for depositing material onto the substrate; depositing material from the sputtering target on the substrate; and independently controlling fluid flowing in a plurality of separate fluid channels in the substrate support, each fluid channel corresponding to one zone of the plurality of zones, wherein fluid flow is controlled based on a target life and the temperature in each zone.
    Type: Grant
    Filed: January 4, 2023
    Date of Patent: July 23, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yaoying Zhong, Siew Kit Hoi, Bridger Earl Hoerner
  • Patent number: 12043899
    Abstract: A system and method for depositing a film within a reaction chamber are disclosed. An exemplary system includes a temperature measurement device, such as a pyrometer, to measure an exterior wall surface of the reaction chamber. A temperature of the exterior wall surface can be controlled to mitigate cleaning or etching of an interior wall surface of the reaction chamber.
    Type: Grant
    Filed: June 15, 2022
    Date of Patent: July 23, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Hyeongeu Kim, Tom Kirschenheiter, Eric Hill, Mark Hawkins, Loren Jacobs
  • Patent number: 12037676
    Abstract: Methods and apparatus for controlling substrate temperature, comprising: monitoring a temperature in each zone of a plurality of zones of a substrate support, the substrate support having a support surface for supporting a substrate, wherein the support surface is opposed to a sputtering target for depositing material onto the substrate; depositing material from the sputtering target on a substrate; and independently controlling a plurality of heaters in the substrate support, each heater corresponding to one zone of the plurality of zones, wherein each heater is controlled based on a target life and the temperature in each zone.
    Type: Grant
    Filed: January 4, 2023
    Date of Patent: July 16, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yaoying Zhong, Siew Kit Hoi, Bridger Earl Hoerner
  • Patent number: 12031211
    Abstract: A device of executing vacuum processing is provided with: a chamber including a single main chamber executing the vacuum processing and being capable of keeping the chamber as a whole in a depressurized state; a plurality of feeding rollers so arranged as to hang down a plurality of threads in the main chamber with keeping the threads from each other; a plurality of winding bobbins respectively winding the plurality of threads independently, the winding bobbins arranged in the chamber horizontally apart from the plurality of threads vertically hung down; and a plurality of movable arms being respectively movable in the chamber from a first position horizontally apart from the plurality of threads vertically hung down, via a second position in contact with any of the plurality of threads, to a third position to make the threads in contact be in contact with corresponding winding bobbins.
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: July 9, 2024
    Assignee: IHI Corporation
    Inventors: Akihiko Yoshimura, Hirotsugu Chishina, Naoya Yamamoto, Yukihiro Nakada, Wataru Ueda
  • Patent number: 12033885
    Abstract: A reactor system may comprise a reaction chamber enclosed by a chamber sidewall, and a susceptor disposed in the reaction chamber between a reaction space and a lower chamber space comprised in the reaction chamber. The susceptor may comprise a pin hole disposed through the susceptor such that the pin hole is in fluid communication with the reaction space and the lower chamber space, and such that the reaction space is in fluid communication with the lower chamber space. A lift pin may be disposed in the pin hole. The lift pin may comprise a pin body comprising a pin channel, defined by a pin channel surface, disposed in the pin body such that the reaction space is in fluid communication with the lower chamber space when the lift pin is disposed in the pin hole.
    Type: Grant
    Filed: January 4, 2021
    Date of Patent: July 9, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Govindarajasekhar Singu, Dinkar Nandwana, Todd Robert Dunn, Shankar Swaminathan, Bhushan Zope, Carl Louis White
  • Patent number: 12027384
    Abstract: A heat treatment apparatus for applying a heat treatment to a plurality of substrates including a product substrate and a dummy substrate includes: a process container configured to accommodate the plurality of substrates; a storage container provided outside the process container and configured to store the dummy substrate; and an oxidation mechanism configured to oxidize the dummy substrate stored in the storage container.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: July 2, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Yutaka Motoyama, Yoshihiro Takezawa
  • Patent number: 12018371
    Abstract: A processing apparatus includes: a processing container having a substantially cylindrical shape; an injector provided to extend in a longitudinal direction along an inner side of an inner wall of the processing container and including a plurality of introduction ports into which a processing gas is introduced and a plurality of gas holes from which the processing gas introduced from the plurality of introduction ports is ejected into the processing container; and a controller configured to change a flow rate ratio of the processing gas to be introduced into the injector from the plurality of introduction ports.
    Type: Grant
    Filed: January 26, 2022
    Date of Patent: June 25, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Reita Igarashi
  • Patent number: 12018369
    Abstract: A processing chamber includes a chamber body, a substrate support configured to hold a substrate in place, and a pre-heat ring having a central opening sized to be disposed around the substrate. A process gas inlet is configured to direct process gas in a lateral direction to flow over the pre-heat ring and the substrate. A process gas flow deflector includes a radially outer mounting portion and a radially inner blade-shaped process gas deflection portion extending in a radial direction. The radially inner blade-shaped process gas deflection portion is shaped as a ring segment. The radially inner blade-shaped process gas deflection portion is disposed above the process gas inlet and dimensioned to overlap with the pre-heat ring, wherein a degree of overlap between the pre-heat ring and process gas flow deflector in the radial direction is at least ½ of the radial dimension of the pre-heat ring.
    Type: Grant
    Filed: July 8, 2021
    Date of Patent: June 25, 2024
    Assignee: Infineon Technologies AG
    Inventors: Matthias Kuenle, Olaf Fiedler, Thomas Huber, Christian Illemann, Mathias Male
  • Patent number: 12009182
    Abstract: A temperature control method of a chamber of a plasma processing apparatus includes: (a) providing a substrate in the chamber; (b) measuring a pre-processing temperature of an internal component of the chamber; (c) determining a temperature control condition based on a difference between the pre-processing temperature measured in (b) and a target temperature that has been preset in advance; (d) performing a process including at least one of increasing the temperature of the internal component by a first plasma of a first processing gas and cooling the internal component by purging the chamber with a cooling gas, based on the temperature control condition; and (e) processing the substrate with a second plasma of a second processing gas.
    Type: Grant
    Filed: May 18, 2022
    Date of Patent: June 11, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Ryo Matsubara
  • Patent number: 12009246
    Abstract: An electrostatic substrate holder for use in an extreme ultraviolet radiation lithography system includes a substrate receiving surface having a plurality of gas passages in fluid communication with a variable gas pressure pump. Varying the pressure in a void space between the backside of the substrate and the substrate receiving surface of the substrate holder promotes removal of non-gaseous materials within the void space between the backside of the substrate and the substrate receiving surface of the substrate holder.
    Type: Grant
    Filed: September 21, 2021
    Date of Patent: June 11, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Chi Tsai, Chueh-Chi Kuo
  • Patent number: 12009178
    Abstract: Embodiments of the present disclosure include methods and apparatuses utilized to reduce particle generation within a processing chamber. In one or more embodiments, a lid for a substrate processing chamber is provided and includes a cover member, a central opening, and a trench. An inner profile of the central opening contains a first section having a first diameter, a second section having a second diameter, and a third section having a third diameter. The second section is disposed between and connected to the first section and the third section. The first diameter gradually increases from the second section toward the surface of the cover member, the second diameter cylindrically extends from the first section to the third section, and the third diameter is less than the second diameter. The trench surrounds the central opening and is formed along a closed path in the surface of the cover member.
    Type: Grant
    Filed: November 7, 2022
    Date of Patent: June 11, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Bernard L. Hwang
  • Patent number: 12000046
    Abstract: In various aspects, a preheater, a directed flow chemical vapor infiltration/chemical vapor deposition (CVI/CVD) furnace, and/or an installation jig are described. In one example, a preheater includes a central inlet; a circuitous gas flow path downstream of the central inlet; a plenum section downstream of the circuitous gas flow path; and an outlet diffuser plate defining a plurality of apertures fluidly configured to couple the preheater to a furnace working zone, wherein the outlet diffuser plate is downstream of the plenum section, wherein the circuitous gas flow path is fluidly coupled to the plenum section by an outer circumferential slot opening.
    Type: Grant
    Filed: December 29, 2021
    Date of Patent: June 4, 2024
    Assignee: Rolls-Royce High Temperature Composites, Inc.
    Inventor: Jeffrey Crutchfield
  • Patent number: 12000043
    Abstract: A precursor source arrangement for an atomic layer deposition reactor and to an atomic layer deposition apparatus wherein the precursor source arrangement includes a valve chamber having one or more supply valves, and a precursor source chamber having a precursor container space inside the precursor source chamber. The precursor source chamber includes a precursor source heat transfer element arranged to heat the precursor container inside the precursor container space. The valve chamber includes a valve chamber heat transfer element arranged to heat the one or more valves inside the valve chamber, and the valve chamber heat transfer element is arranged in heat transfer contact with the precursor source heat transfer element.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: June 4, 2024
    Assignee: BENEQ OY
    Inventors: Pekka Soininen, Hulda Aminoff, Pekka J. Soininen, Ville Miikkulainen
  • Patent number: 11994542
    Abstract: An apparatus to estimate parameters of a radio frequency (RF) signal may include a voltage sensor configured to provide an indication of a voltage of the RF signal as well as a current sensor configured to provide an indication of current conducted by the RF signal. The apparatus may additionally include an analog-to-digital conversion module coupled to an output port of the voltage sensor and the current sensor, wherein the analog-to-digital converter is configured to provide digital representations of an instantaneous voltage and an instantaneous current of the RF signal. The apparatus may additionally include one or more processors configured to transform the digital representations of the instantaneous voltage and current into frequency domain representations of a complex voltage and complex current.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: May 28, 2024
    Assignee: Lam Research Corporation
    Inventors: Sunil Kapoor, David French
  • Patent number: 11996304
    Abstract: Disclosed is a heat shielding device which shields heat from a chamber wall to the outside by creating one or more gas insulating layers around a chamber heated to a high temperature, thereby reducing heat loss and power consumed when heating the chamber to a certain temperature and reducing safety problems such as burning of an operator.
    Type: Grant
    Filed: April 19, 2023
    Date of Patent: May 28, 2024
    Assignee: ASM IP Holding B.V.
    Inventor: JuIll Lee
  • Patent number: 11976369
    Abstract: In one aspect, a highly scalable diffusion-couple apparatus includes a transfer chamber configured to load a wafer into a process chamber. The process chamber is configured to receive the wafer substrate from the transfer chamber. The process chamber comprises a chamber for growth of a diffusion material on the wafer. A heatable bottom substrate disk includes a first heating mechanism. The heatable bottom substrate disk is fixed and heatable to a specified temperature. The wafer is placed on the heatable bottom substrate disk. A heatable top substrate disk comprising a second heating mechanism. The heatable top substrate disk is configured to move up and down along an x axis and an x prime axis to apply a mechanical pressure to the wafer on the heatable bottom substrate disk. While the heatable top substrate disk applies the mechanical pressure a chamber pressure is maintained at a specified low value.
    Type: Grant
    Filed: July 5, 2022
    Date of Patent: May 7, 2024
    Assignee: DESTINATION 2D INC.
    Inventors: Kaustav Banerjee, Ravi Iyengar, Satish Sundar, Nalin Rupesinghe
  • Patent number: 11970766
    Abstract: Examples of the disclosure relate to a sequential infiltration synthesis apparatus comprising: a reaction chamber constructed and arranged to accommodate at least one substrate; a first precursor flow path to provide the first precursor to the reaction chamber when a first flow controller is activated; a second precursor flow path to provide a second precursor to the reaction chamber when a second flow controller is activated; a removal flow path to allow removal of gas from the reaction chamber; a removal flow controller to create a gas flow in the reaction chamber to the removal flow path when the removal flow controller is activated; and, a sequence controller operably connected to the first, second and removal flow controllers and the sequence controller being programmed to enable infiltration of an infiltrateable material provided on the substrate in the reaction chamber. The apparatus may be provided with a heating system.
    Type: Grant
    Filed: January 17, 2023
    Date of Patent: April 30, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Ivo Johannes Raaijmakers, Jan Willem Maes, Werner Knaepen, Krzysztof Kamil Kachel
  • Patent number: 11967501
    Abstract: Described herein is a technique capable of improving a film uniformity on a surface of a substrate and a film uniformity among a plurality of substrates including the substrate. According to one aspect thereof, there is provided a substrate processing apparatus including: a substrate retainer including: a product wafer support region, an upper dummy wafer support region and a lower dummy wafer support region; a process chamber in which the substrate retainer is accommodated; a first, a second and a third gas supplier; and an exhaust system. Each of the first gas and the third gas supplier includes a vertically extending nozzle with holes, wherein an upper of an uppermost hole and a lower end of a lowermost hole are arranged corresponding to an uppermost and a lowermost dummy wafer, respectively. The second gas supplier includes a nozzle with holes or a slit.
    Type: Grant
    Filed: January 24, 2022
    Date of Patent: April 23, 2024
    Assignee: Kokusai Electric Corporation
    Inventors: Hiroaki Hiramatsu, Shuhei Saido, Takuro Ushida
  • Patent number: 11961707
    Abstract: The present disclosure describes a system and a method for providing a mixed gas to an ion implantation tool. The system includes a water supply, an electrical source, a gas generator. The gas generator is configured to generate a first gas from the water supply and the electrical source. The system also includes a first flow controller configured to control a first flow rate of the first gas, a gas container to provide a second gas, a second flow controller configured to control a second flow rate of the second gas, and a gas pipe configured to mix the first and second gases into a mixed gas. The mixed gas can be delivered to, for example, an ion source head of the ion implantation tool.
    Type: Grant
    Filed: December 12, 2022
    Date of Patent: April 16, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsing-Piao Hsu, Nai-Han Cheng, Ping-Chih Ou
  • Patent number: 11959169
    Abstract: A gas injector for processing a substrate includes a body having an inlet connectable to a gas source that is configured to provide a gas flow in a first direction into the inlet when processing a substrate on a substrate support disposed within a processing volume of a processing chamber, and an a gas injection channel formed in the body. The gas injection channel is in fluid communication with the inlet and configured to deliver the gas flow to an inlet of the processing chamber. The gas injection channel has a first interior surface and a second interior surface that are parallel to a second direction and a third direction. The second and third directions are misaligned with a center of the substrate, and are at an angle to the first direction towards a first edge of the substrate support.
    Type: Grant
    Filed: September 30, 2022
    Date of Patent: April 16, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eric Kihara Shono, Vishwas Kumar Pandey, Christopher S. Olsen, Kartik Shah, Hansel Lo, Tobin Kaufman-Osborn, Rene George, Lara Hawrylchak, Erika Hansen
  • Patent number: 11942338
    Abstract: An apparatus for manufacturing a display device includes a chamber, a heating member disposed inside the chamber to provide a thermal atmosphere inside the chamber, where the heating member includes a first heater and a second heater facing each other, a height adjustment member including an end disposed between the first heater and the second heater, and a driving unit which drives the end of the height adjustment member to move up or down such that the end of the height adjustment member is located at one of a first height and a second height which are different heights between the first heater and the second heater. Each of the first height and the second height is different from a height of a top surface of the first heater, and different from a height of a bottom surface of the second heater facing the top surface of the first heater.
    Type: Grant
    Filed: June 15, 2021
    Date of Patent: March 26, 2024
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jong Jang Park, Seok Soon Back, Sung Hune Yoo
  • Patent number: 11942320
    Abstract: An embodiment of the present disclosure provides a method of manufacturing a semiconductor structure. The method includes: providing a base; and forming a silicon nitride film layer on the base by an atomic layer deposition process, where the atomic layer deposition process includes multiple cyclic deposition steps; in each of the cyclic deposition steps, a silicon source gas and a nitrogen source gas are provided to a surface of the base; before each of the cyclic deposition steps, the method of manufacturing a semiconductor structure further includes a repair step; in the repair step, a repair gas is provided to the surface of the base, and the repair gas is a hydrogen-containing repair gas; the repair gas includes a polar molecule for repairing the surface of the base that is damaged.
    Type: Grant
    Filed: July 12, 2021
    Date of Patent: March 26, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Kun Zhao