By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 10731252
    Abstract: An apparatus for coating specimens includes a reaction chamber and a plurality of reaction modules in the reaction chamber for containing specimens to be coated, where each reaction module includes a module inlet and a module outlet. A plurality of conduits are configured to be in fluid communication with at least one gas source external to the reaction chamber, and each of the conduits terminates in one of the reaction modules for delivery of gaseous reagents to the specimens to be coated. The module outlets are in fluid communication with the reaction chamber for expulsion of gaseous reaction products from the reaction modules.
    Type: Grant
    Filed: May 25, 2018
    Date of Patent: August 4, 2020
    Assignee: ROLLS-ROYCE HIGH TEMPERATURE COMPOSITES
    Inventor: Stephen Harris
  • Patent number: 10720343
    Abstract: An apparatus for processing wafer-shaped articles comprises a rotary chuck and a heating assembly that faces a wafer-shaped article when positioned on the rotary chuck. A liquid dispenser positioned so as to dispense liquid onto a surface of a wafer-shaped article that faces away from the rotary chuck when positioned on the rotary chuck. The heating assembly comprises an array of radiant heating elements distributed among at least five individually controllable groups. The liquid dispenser comprises one or more dispensing orifices configured to move a discharge point from a more central region of the rotary chuck to a more peripheral region of the rotary chuck. A controller controls power supplied to each of the at least five individually controllable groups of radiant heating elements based on a position of the discharge point of the liquid dispenser.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: July 21, 2020
    Assignee: Lam Research AG
    Inventors: David Mui, Butch Berney, Alois Goller, Mike Ravkin
  • Patent number: 10713772
    Abstract: Disclosed is a measurement processing device including: a processing unit configured to control an imaging device to image a substrate, on which a processing film is removed from the peripheral edge portion, and an enclosure member that surrounds the substrate. A captured image obtained by the imaging device is processed to measure a cut width in which the processing film is absent in the peripheral edge portion of the substrate, and a gap width between a peripheral edge end of the substrate and the enclosure member.
    Type: Grant
    Filed: November 24, 2015
    Date of Patent: July 14, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshifumi Amano, Yuki Ito, Eiichiro Okamoto, Kazuya Iwanaga, Ryoji Ikebe
  • Patent number: 10704143
    Abstract: Examples of a oxide film forming method include providing a precursor to a reaction space including a substrate and a susceptor, and forming an oxide film on the substrate by introducing at least one of CxOy and NxOy (x and y are integers) as a reactant gas into the reaction space while applying a pulse RF power having a duty cycle less than 60% to an RF plate to generate plasma of the reactant gas, the RF plate being provided in the reaction space so as to face the susceptor, wherein the providing and the forming are repeated a predetermined number of times.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: July 7, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Takafumi Hisamitsu, Seiji Okura
  • Patent number: 10689760
    Abstract: An apparatus for processing a flexible substrate is provided including a vacuum chamber having a first chamber portion, second chamber portion and third chamber portion. The apparatus further includes an unwinding shaft supporting the flexible substrate to be processed and a winding shaft supporting the flexible substrate after processing, wherein the unwinding shaft and the winding shaft are disposed in the first chamber portion, a first wall separating the first chamber portion from the second chamber portion, wherein the first wall is inclined with respect to a vertical and horizontal orientation, a coating drum having a first portion disposed in the second chamber portion and a second portion disposed in the third chamber portion, and a plurality of processing stations disposed at least partially in the third chamber portion, wherein a majority of the plurality of the processing stations are disposed below a rotational axis of the coating drum.
    Type: Grant
    Filed: November 14, 2016
    Date of Patent: June 23, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Jose Manuel Dieguez-Campo, Heike Landgraf, Tobias Stolley, Stefan Hein, Florian Ries, Morrison Neil
  • Patent number: 10679871
    Abstract: An apparatus for processing wafer-shaped articles comprises a rotary chuck and a heating assembly that faces a wafer-shaped article when positioned on the rotary chuck. A liquid dispenser positioned so as to dispense liquid onto a surface of a wafer-shaped article that faces away from the rotary chuck when positioned on the rotary chuck. The heating assembly comprises an array of radiant heating elements distributed among at least five individually controllable groups. The liquid dispenser comprises one or more dispensing orifices configured to move a discharge point from a more central region of the rotary chuck to a more peripheral region of the rotary chuck. A controller controls power supplied to each of the at least five individually controllable groups of radiant heating elements based on a position of the discharge point of the liquid dispenser.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: June 9, 2020
    Assignee: Lam Research AG
    Inventors: David Mui, Butch Berney, Alois Goller, Mike Ravkin
  • Patent number: 10672641
    Abstract: A support member for a thermal processing chamber is described. The support member has a sol coating on at least one surface. The sol coating contains a material that blocks a desired wavelength or spectrum of radiation from being transmitted by the material of the support member. The sol coating may be a multi-layer structure that may include adhesion layers, transition layers, and cap layers, in addition to radiation-blocking layers.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: June 2, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Joseph M. Ranish
  • Patent number: 10644422
    Abstract: A plug-in connector part for connection to a mating plug-in connector part includes: a contact element for electrically contacting an associated mating contact element of the mating plug-in connector part. The contact element includes a contact portion for contacting the mating contact element of the mating plug-in connector part and a shank portion for connecting a load line for transmitting an electrical current. The contact element includes a channel, which extends in the contact element and to which at least one coolant line is fluidically connectable, for guiding a coolant through the contact element.
    Type: Grant
    Filed: March 15, 2017
    Date of Patent: May 5, 2020
    Assignee: PHOENIX CONTACT E-MOBILITY GMBH
    Inventor: Dirk Moseke
  • Patent number: 10629460
    Abstract: A circulating cooling/heating device configured to cool and heat a circulating fluid supplied to a chamber in plasma-etching equipment includes: a heat exchanger configured to perform heat exchange between the circulating fluid and a cooling water; a heater configured to heat the circulating fluid; a pump configured to circulate the circulating fluid between the circulating cooling/heating device and the chamber; a cooling water circulation block through which the cooling water passes; and a pressure sensor serving as a pressure detecting unit configured to detect a pressure of the cooled or heated circulating fluid, the pressure sensor being attached to the cooling water circulation block.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: April 21, 2020
    Assignee: KELK LTD.
    Inventor: Kazuya Shiga
  • Patent number: 10622228
    Abstract: Disclosed are a substrate supporting unit, a substrate processing apparatus, and a method of manufacturing the substrate supporting unit. The substrate supporting unit includes a susceptor provided with heaters to heat a substrate placed on the susceptor, and including a first temperature region and a second temperature region having a higher temperature than that of the first temperature region; and a heat dissipating member including a contact surface being in thermal contact with the second temperature region. The heat dissipating member further includes an opening corresponding to the first temperature region. The heat dissipating member formed in a ring shape, in which the opening is surrounded with the contact surface, and the contact surface of the heat dissipating member makes thermal contact with the lower surface of the susceptor.
    Type: Grant
    Filed: December 29, 2016
    Date of Patent: April 14, 2020
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Dong-Keun Lee, Kyung-Jin Chu, Sung-Tae Je, Il-Kwang Yang
  • Patent number: 10618026
    Abstract: A method of cooling a liner in a plasma chamber. A recycle gas is contacted with or passed through the liner to cool the liner and pre-heat the recycle gas. The pre-heated gas is then recycled through the plasma chamber to become part of the plasma forming process. The method further comprises the liner is graphite, the recycle gas passes through at least one cooling channel present in the liner, at least one of the cooling channels are covered with at least one removable liner/channel cover, carbon deposits are formed from the presence of hydrocarbons in the recycle gas, at least one channel is formed in a spiral cooling channel pattern, at least one channel is formed in a substantially straight cooling channel pattern, and a plenum to aid in the production of an even distribution of cooling gas in the channels.
    Type: Grant
    Filed: February 1, 2016
    Date of Patent: April 14, 2020
    Assignee: MONOLITH MATERIALS, INC.
    Inventors: Roscoe W. Taylor, Alexander Hoermann
  • Patent number: 10607867
    Abstract: A workpiece holder includes a puck, first and second heating devices in thermal communication with respective inner and outer portions of the puck, and a thermal sink in thermal communication with the puck. The first and second heating devices are independently controllable, and the first and second heating devices are in greater thermal communication with the puck, than thermal communication of the thermal sink with the puck. A method of controlling temperature distribution of a workpiece includes flowing a heat exchange fluid through a thermal sink to establish a reference temperature to a puck, raising temperatures of radially inner and outer portions of the puck to first and second temperatures greater than the reference temperature, by activating respective first and second heating devices disposed in thermal communication with the radially inner and outer portions of the puck, and placing the workpiece on the puck.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: March 31, 2020
    Assignee: Applied Materials, Inc.
    Inventors: David Benjaminson, Dmitry Lubomirsky, Ananda Seelavanth Math, Saravanakumar Natarajan, Shubham Chourey
  • Patent number: 10595967
    Abstract: A process for providing a topography to the surface of a dental implant, the surface being made of a ceramic material having yttria-stabilized zirconia, the process including: providing a macroscopic roughness to the surface of the dental implant by a mechanical process and/or injection molding technique; and etching at least a part of the roughened surface, wherein etching is carried out using an etching solution having hydrofluoric acid at a temperature of 70° C. at least, such that discrete grains or agglomerates of grains are removed from the yttria-stabilized zirconia, thereby forming recesses and cavities in the roughened surface is disclosed.
    Type: Grant
    Filed: September 12, 2014
    Date of Patent: March 24, 2020
    Assignee: STRAUMANN HOLDING AG
    Inventors: Frank Homann, Philippe Habersetzer
  • Patent number: 10593520
    Abstract: The present invention provides a temperature adjusting apparatus for a focus ring, wherein heat radiated from the plasma onto the focus ring is transferred downward to a base through the first heat conducting pad contacting a lower surface of the focus ring, an insulating ring contacting a lower surface of the first heat conducting pad, and the second heat conducting pad contacting a lower surface of the insulating ring, so as to be cooled by a cooling system provided at the base; turning on a heater disposed in a grounded shielding ring to generate a controllable external heating source, heat from the heater being transferred to the focus ring through the shielding ring, a third heat conducting pad contacting the shielding ring, the insulating ring contacting the third heat conducting pad, and the first heat conducting pad, so as to perform controllable warming to the focus ring.
    Type: Grant
    Filed: December 20, 2016
    Date of Patent: March 17, 2020
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA
    Inventors: Lei Wu, Rubin Ye, Bryan Pu
  • Patent number: 10586719
    Abstract: Embodiments of the inventive concept relate to an apparatus for supporting a substrate and a method for treating a substrate. The substrate support apparatus includes a substrate support member including a support plate having an upper surface that supports a substrate, and a heating member provided in the support plate to heat the substrate, wherein an area of the support plate has a buffer area, in which a buffer space for restricting a heat transfer rate of heat provided from the heating member to the upper surface is formed. The buffer space insulates a central area and a peripheral area, thereby maximizing a temperature difference between the central area and the peripheral area.
    Type: Grant
    Filed: October 27, 2017
    Date of Patent: March 10, 2020
    Assignee: SEMES CO., LTD
    Inventors: Jae-Youl Kim, Jong Seok Seo, Seongsu Kim
  • Patent number: 10550476
    Abstract: A gas-levitated substrate backing system includes a gas-levitating backer structure which is used for providing a non-contact force onto a surface of a substrate. The gas-levitating backer structure has an output face including three or more output openings. A gas source provides a gas flow through the output openings to levitate the gas-levitating backer structure over the surface of the substrate. The gas-levitating backer structure is freely moveable in a direction normal to the surface of the substrate.
    Type: Grant
    Filed: March 14, 2017
    Date of Patent: February 4, 2020
    Assignee: EASTMAN KODAK COMPANY
    Inventor: Todd Mathew Spath
  • Patent number: 10550449
    Abstract: An apparatus for separating and recovering the components of an alloy, particularly a noble alloy, including a high vacuum chamber housing at least one crucible for the alloy to be separated; at least one heating element arranged, during use, around the crucible; at least one condensation device, which faces, during use, an upper mouth of the crucible. The particularity of the present invention resides in that the condensation device includes at least one cold element and at least one deflector that is adapted to divert the flow of the aeriform substances derived from the melting and evaporation of the alloy toward the cold element. The invention also relates to a process for separating and recovering the components of an alloy, particularly a noble alloy.
    Type: Grant
    Filed: January 25, 2016
    Date of Patent: February 4, 2020
    Assignee: IKOI S.P.A.
    Inventors: Giovanni Faoro, Aleksandr Khlebnikov, Denis Borovkov, Sergey Medvedev, Sergey Grokhovsky
  • Patent number: 10533134
    Abstract: Methods, apparatuses and systems are disclosed for chemically etching parts by generating an enclosed chemical etching chamber in contact with a part surface and directing a flow of chemical etchant solution in contact with a part region to be etched.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: January 14, 2020
    Assignee: The Boeing Company
    Inventors: David S. Nansen, Walter A. Beauchamp, Lee C. Firth
  • Patent number: 10449830
    Abstract: A vehicular heat management system includes: a refrigerant circuit; a first heat medium circuit in which a heat medium circulates and exchanges heat with a low-pressure side refrigerant of the refrigerant circuit; a second heat medium circuit in which a heat medium circulates and exchanges heat with a high-pressure side refrigerant of the refrigerant circuit; and a switching device configured to switch a mode between a communicating mode in which the first heat medium circuit and the second heat medium circuit are coupled and a non-communicating mode in which the first heat medium circuit and the second heat medium circuit are not coupled on the basis of a temperature of the heat medium in the first heat medium circuit.
    Type: Grant
    Filed: May 22, 2014
    Date of Patent: October 22, 2019
    Assignee: DENSO CORPORATION
    Inventors: Kengo Sugimura, Kazutoshi Kuwayama, Masamichi Makihara, Yoshiki Katoh, Norihiko Enomoto
  • Patent number: 10443934
    Abstract: A system for heating substrates while being transported between the load lock and the platen is disclosed. The system comprises an array of light emitting diodes (LEDs) disposed above the alignment station. The LEDs may be GaN or GaP LEDs, which emit light at a wavelength which is readily absorbed by silicon, thus efficiently and quickly heating the substrate. The LEDs may be arranged so that the rotation of the substrate during alignment results in a uniform temperature profile of the substrate. Further, heating during alignment may also increase throughput and eliminate preheating stations that are currently associated with the processing chamber.
    Type: Grant
    Filed: May 8, 2015
    Date of Patent: October 15, 2019
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan D. Evans, Jason M. Schaller, D. Jeffrey Lischer, Ala Moradian, William T. Weaver, Robert Brent Vopat
  • Patent number: 10437236
    Abstract: A method of determining thermal stability of an upper surface of a substrate support assembly in a plasma processing apparatus includes: before processing of at least one substrate in the plasma processing apparatus and while powering an array of thermal control elements of the substrate support assembly to achieve a desired spatial and temporal temperature of the upper surface of the substrate support assembly, recording pre-process temperature data of the substrate support assembly; after the processing of the at least one substrate in the plasma processing apparatus and while powering the array of thermal control elements to achieve the desired spatial and temporal temperature of the upper surface of the substrate support assembly, recording post-process temperature data; comparing the post-process temperature data to the pre-process temperature data; and determining whether the post-process temperature data is within a predetermined tolerance range of the pre-process temperature data.
    Type: Grant
    Filed: July 24, 2017
    Date of Patent: October 8, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Ole Waldmann, Eric A. Pape, Carlos Leal-Verdugo, Keith William Gaff
  • Patent number: 10431488
    Abstract: A lift pin passes through a hole of a susceptor on which a wafer is placed inside a process chamber in which an epitaxial process is performed with respect to the wafer, to support the wafer, and has a surface formed of a glassy carbon material.
    Type: Grant
    Filed: January 22, 2016
    Date of Patent: October 1, 2019
    Assignee: KOMICO CO., LTD.
    Inventors: Sung Hee Yoon, Kwon Ho Jung
  • Patent number: 10415139
    Abstract: A coating apparatus includes a coating chamber, and a substrate support structure and a heater in the coating chamber. The substrate support structure includes a plurality of support units. The heater includes a heating plate body having a plurality of through holes and heating filling parts each provided in one of the plurality of through holes, and the plurality of support units are received in the plurality of through holes respectively. In each of the plurality of through holes, one of the plurality of support units is located between one of the heating filling parts and an inner wall of the through hole accommodating the one of the heating filling parts, and the heater further includes connection parts each configured to connect one of the heating filling parts with the inner wall of the through holes accommodating the one of the heating filling parts.
    Type: Grant
    Filed: April 16, 2018
    Date of Patent: September 17, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Shanshan Yang, Dalin Cui
  • Patent number: 10409306
    Abstract: Implementations disclosed herein relate to methods and apparatus for zoned temperature control during a film forming process. In one implementation, a substrate processing apparatus is provided. The substrate processing apparatus comprises a vacuum chamber, a plurality of power supplies coupled with the plurality of thermal laps and a controller that adjusts the power supplies based on input from radiation sensors. The chamber includes a sidewall defining a processing region. A plurality of thermal lamps is positioned external to the processing region. A window is positioned between the plurality of thermal lamps and the processing region. A radiation source is disposed within the sidewall and oriented to direct radiation toward an area proximate a substrate support. A radiation sensor is disposed on the side of the substrate support opposite the plurality of thermal lamps to receive emitted radiation from the radiation source.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: September 10, 2019
    Assignee: Applied Materials, Inc.
    Inventor: Joseph M. Ranish
  • Patent number: 10409227
    Abstract: A microfabricated sensor includes a sensor cell with a cell body and a window attached to the cell body. A sensor cavity containing sensor fluid material is located in cell body, open to the window. A signal path extends from a signal emitter outside the sensor cell, through the window and sensor cavity, and to a signal detector. The sensor cell may have an asymmetric thermal configuration, conducive to developing a temperature gradient in the sensor cell. One or more heaters are disposed on the sensor cell, possibly in an asymmetric configuration. Power is applied to the heaters, possibly asymmetrically, so as to develop a temperature gradient in the sensor cell with a low temperature region in the sensor cell, sufficient to condense the sensor fluid in the low temperature region, outside of the signal path.
    Type: Grant
    Filed: August 3, 2016
    Date of Patent: September 10, 2019
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Roozbeh Parsa, Iouri N Mirgorodski, William French, Nathan Brockie, Ann Gabrys, Terry Dyer
  • Patent number: 10405375
    Abstract: The embodiments described herein generally relate to a flexible standoff for use with a lamphead assembly in a thermal processing chamber. In one embodiment, the lamphead assembly can include a lamphead with one or more fixed lamphead positions, a lamp bulb, a lamp base with a standoff contact adaptor and a flexible standoff capable of attaching and positioning the lamp assembly. The flexible standoff can include a socket configured to receive a lamp base of a lamp assembly, a housing configured to position a lamp bulb of a lamp assembly in thermal connection with a processing chamber, a contact adaptor configured to electrically connect to a power supply and a conductive material to electrically connect the socket and the contact adaptor.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: September 3, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph M. Ranish, Oleg Serebryanov, Dongming Iu
  • Patent number: 10373853
    Abstract: An electrostatic chuck includes a ceramic dielectric substrate having a first major surface on which an object to be processed is mounted, and a second major surface, the ceramic dielectric substrate being a polycrystalline ceramic sintered body, an electrode layer provided on the ceramic dielectric substrate, a base plate provided on a side of the second major surface and supporting the ceramic dielectric substrate, and a heater provided between the electrode layer and the base plate. The base plate includes a through hole piercing the base plate and a communication path passing a medium adjusting a temperature of the object to be processed, and when viewed in a direction perpendicular to the first major surface, at least a part of the heater exists on a side of the through hole as viewed from a first portion of the communication path which is closest to the through hole.
    Type: Grant
    Filed: December 10, 2015
    Date of Patent: August 6, 2019
    Assignee: Toto Ltd.
    Inventors: Kazuki Anada, Yuichi Yoshii
  • Patent number: 10359320
    Abstract: A device that measures a temperature of a heat plate for heating a target substrate mounted thereon, includes: a temperature measurement substrate including a substrate body and temperature sensors installed in the substrate body; a memory part to store correction parameters over a plurality of time zones after the temperature measurement substrate is mounted on the heat plate; and a data processing part configured to acquire time transition data of a temperature by correcting respective temperature detection values sampled at predetermined time intervals after the temperature measurement substrate is mounted on the heat plate, using the correction parameters stored in the memory part in a corresponding relationship with the temperature sensors and the time zones. The correction parameters are obtained in advance based on a standard temperature transition data acquired in advance using the temperature sensors and a time transition data acquired by each of the temperature sensors.
    Type: Grant
    Filed: February 9, 2017
    Date of Patent: July 23, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi Nogami, Masahiro Nakaharada
  • Patent number: 10347521
    Abstract: A heating member includes a ceramic substrate having a structure in which a plurality of ceramic layers are laminated together; a resistance heat-generating element embedded in the ceramic substrate; an electricity supply element disposed on a surface of the ceramic substrate; and an electricity supply path embedded in the ceramic substrate and electrically connecting the resistance heat-generating element and the electricity supply element. The electricity supply path includes a plurality of conductive layers disposed along the planar direction of the ceramic layers at different positions in the thickness direction of the ceramic substrate, and a plurality of vias disposed along the thickness direction of the ceramic substrate. When the plurality of conductive layers are viewed from the thickness direction, their outer edges are positionally offset from one another.
    Type: Grant
    Filed: October 5, 2016
    Date of Patent: July 9, 2019
    Assignee: NGK SPARK PLUG CO., LTD.
    Inventors: Hironobu Ishikawa, Yasuhiko Inui, Taichi Kibe, Jun Kurano
  • Patent number: 10344383
    Abstract: In one or more embodiments, an apparatus for processing a wafer includes a ceramic wall, a metal wall and a frame. The ceramic wall defines a chamber for accommodating the wafer. The ceramic wall has a first surface defining a first opening. The metal wall surrounds the ceramic wall. The metal wall has a second surface defining a second opening adjacent to the first opening. The frame covers the second surface.
    Type: Grant
    Filed: August 3, 2017
    Date of Patent: July 9, 2019
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Chuan-Yung Shih, Tai-Yuan Huang, Yu-Chi Wang, Chin-Feng Wang, Sing-Syuan Shiau, Chun-Wei Shih, Shao-Ci Huang, Huang-Hsien Chang, Yuan-Feng Chiang
  • Patent number: 10344375
    Abstract: Embodiments of the present disclosure provides apparatus and method for stabilizing substrate temperature by flowing a flow of cooling gas to an inlet of cooling channels in a substrate support, receiving the flow of cooling gas from an outlet of the cooling channel using a heat exchanger, and releasing the cooling gas to an immediate environment, such as a cleanroom or a minienvironment.
    Type: Grant
    Filed: October 16, 2017
    Date of Patent: July 9, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Brian West, Michael S. Cox, Jeonghoon Oh
  • Patent number: 10337119
    Abstract: A method of manufacturing a silicon carbide epitaxial substrate includes: performing degassing by heating a reaction chamber of a film formation apparatus; and using a gas including silicon atoms, a gas including carbon atoms, an ammonia gas, and a hydrogen gas serving as a carrier gas and having a dew point equal to or less than ?100° C., epitaxially growing a silicon carbide layer on a surface of a silicon carbide single-crystal substrate within the reaction chamber.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: July 2, 2019
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Tsutomu Hori
  • Patent number: 10323322
    Abstract: A device for carrying out a CVD process comprises a gas inlet element, which is arranged in a reactor housing and has a gas outlet plate, which faces a process chamber, comprises a porous material and has a multiplicity of gas outlet openings, which are fed with process gases from a gas distributing volume arranged in the gas inlet element. In order to improve production aspects of a gas inlet element, in particular for a CVD reactor with a large coating area, it is proposed that the porous material forms the core of the gas outlet plate, the surface segments of which that come into contact with the process gas are sealed.
    Type: Grant
    Filed: December 17, 2014
    Date of Patent: June 18, 2019
    Assignee: AIXTRON SE
    Inventors: Baskar Pagadala Gopi, Michael Long, Markus Gersdorff
  • Patent number: 10319873
    Abstract: A photovoltaic cell can include a dopant in contact with a semiconductor layer.
    Type: Grant
    Filed: January 13, 2016
    Date of Patent: June 11, 2019
    Assignee: First Solar, Inc.
    Inventors: Rick C. Powell, Upali Jayamaha, Anke Abken, Markus Gloeckler, Akhlesh Gupta, Roger T. Green, Peter Meyers
  • Patent number: 10280510
    Abstract: The embodiments described herein generally relate to a substrate support assembly for use in a plasma processing chamber to provide non-uniform gas flow flowing between the substrate support assembly and sidewalls of the plasma processing chamber. In one embodiment, a substrate support assembly includes a substrate support assembly including a substrate support body defining at least a first side of the substrate support body, and a corner region and a center region formed in the first side of the substrate support body, wherein the corner region has a corner width that is smaller than a center width of the center region, the widths defined between a center axis and the first side of the substrate support body.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: May 7, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Robin L. Tiner
  • Patent number: 10269594
    Abstract: A transparent plate and a substrate processing system including the same are disclosed. The substrate processing system may include a chamber, a lamp provided below the chamber, and a plate provided in the chamber to load a substrate. The plate may include a center region having a first transmittance value and an edge region having with a second transmittance value higher than the first transmittance value.
    Type: Grant
    Filed: July 6, 2016
    Date of Patent: April 23, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Nam Hoon Lee, Jungwoo Seo, Joonghan Shin, Byung Joo Oh, Jeongmin Lee, Gi-Nam Park, Jonghyun Lee
  • Patent number: 10269593
    Abstract: Apparatus for coupling a hot wire source to a process chamber is provided herein. In some embodiments, an apparatus for coupling a hot wire source to a process chamber may include: a housing having an open end and a through hole formed through a top and a bottom of the housing; and a filament assembly configured to be disposed within the housing, the filament assembly having a frame and a plurality of filaments disposed across the frame, wherein the plurality of filaments of the filament assembly are substantially parallel with the top and the bottom of the housing and at least a portion of the plurality of filaments are disposed within the through hole of the housing when the filament assembly is disposed within the housing.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: April 23, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joe Griffith Cruz, Hanh Nguyen, Randy Vrana, Karl Armstrong
  • Patent number: 10260149
    Abstract: Implementations of the present disclosure provide apparatus and method for improving gas distribution during thermal processing. One implementation of the present disclosure provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support has a substrate supporting surface, a gas source assembly coupled to an inlet of the chamber body, an exhaust assembly coupled to an outlet of the chamber body, and a side gas assembly coupled to a sidewall of the chamber body, wherein the side gas assembly comprises a gas inlet pointed in a direction that is tangential to the edge of the substrate supporting surface, and wherein the gas inlet, the inlet of the chamber body, and the outlet of the chamber body are angularly offset at about 90° with respect to each other, and the gas inlet, the inlet of the chamber body, and the outlet of the chamber body are intersected by a common plane.
    Type: Grant
    Filed: March 23, 2017
    Date of Patent: April 16, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Agus Sofian Tjandra, Martin John Ripley
  • Patent number: 10184173
    Abstract: A plasma processing method includes forming a deposition film containing silicon as a component in a processing chamber by generating a first plasma in the processing chamber; plasma etching of a sample in which a film containing a metal is formed in the processing chamber; and removing of a metal-based reaction product by generating a second plasma including an element having reducibility and halogen. The plasma processing method further includes removing the deposition film by a third plasma generated by using gas containing a fluorine element; and removing residual gas by a fourth plasma.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: January 22, 2019
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Takahiro Yonemoto, Masahiro Sumiya, Yoshito Kamaji, Junya Sasaki
  • Patent number: 10161036
    Abstract: The present invention may include: a tube providing an interior space in which substrates are processed; a substrate support portion stacking a plurality of substrates in the interior space of the tube in multi-level; a gas supply portion supplying a process gas to the plurality of substrates; an exhaust portion disposed to face the gas supply portion to absorb the process gas; and a flowage adjustment portion having spray openings formed along a circumference of the tube between the gas supply portion and the exhaust portion to spray an adjusting gas, and may be capable of controlling the amount of process gas supplied to an upper surface of the substrate by adjusting the flowage of process gas.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: December 25, 2018
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Jun Jin Hyon, Sung Tae Je, Byoung Gyu Song, Yong Ki Kim, Kyoung Hun Kim, Chang Dol Kim, Yang Sik Shin, Jae Woo Kim
  • Patent number: 10163665
    Abstract: Provided is a temperature control system configured to mix a low temperature heating medium and a high temperature heating medium to supply the heating mediums at a temperature according to a process recipe to an electrostatic chuck (ESC) configured to maintain a temperature and support a wafer in a chamber in which a semiconductor wafer processing process is performed, and a heating medium obtained by mixing a heating medium cooled through a thermoelectric element and a heating medium heated through a heater to a desired target temperature according to a first ratio and a second ratio is provided to a load and recovered from the load, and the heating medium is distributed to the thermoelectric element and the heater according to the first ratio and the second ratio, which are ratios upon the mixing, optimizing power consumption for cooling or heating.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: December 25, 2018
    Assignee: TECHEST CO., LTD.
    Inventors: Min Jin Han, Jae Geon Kim
  • Patent number: 10163666
    Abstract: Provided is a temperature control system configured to mix a low temperature heating medium and a high temperature heating medium to supply the heating mediums at a temperature according to a process recipe to an electrostatic chuck (ESC) configured to maintain a temperature and support a wafer in a chamber in which a semiconductor wafer processing process is performed, and a heating medium obtained by mixing a heating medium cooled through a thermoelectric element and a heating medium heated through a heater to a desired target temperature according to a first ratio and a second ratio is provided to a load and recovered from the load, and the heating medium is distributed to the thermoelectric element and the heater according to the first ratio and the second ratio, which are ratios upon the mixing, optimizing power consumption for cooling or heating.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: December 25, 2018
    Assignee: TECHEST. CO., LTD.
    Inventors: Min Jin Han, Jae Geon Kim
  • Patent number: 10121682
    Abstract: A purge ring for providing a gas to a wafer processing chamber includes an inlet ring wall defining a ring hole space. An outer perimeter of the inlet ring wall is elliptical. An outer perimeter of the ring hole space is circular. The inlet ring wall is a continuous structure surrounding the ring hole space. An inlet baffle formed within the inlet ring wall surrounds at least 180 degrees of the outer perimeter of the ring hole space. An inlet plenum arranged in a first end of the inlet ring wall provides the gas to the ring hole space through the inlet baffle. An exhaust channel is formed within the inlet ring wall in a second end of the inlet ring wall. An exhaust outlet hole arranged in the second end of the inlet ring wall exhausts the gas out of the ring hole space via the exhaust channel.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: November 6, 2018
    Assignee: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 10115566
    Abstract: Methods and apparatus for controlling a magnetic field in a plasma chamber are provided herein. In some embodiments, a process chamber liner may include a cylindrical body, an inner electromagnetic cosine-theta (cos ?) coil ring including a first plurality of inner coils embedded in the body and configured to generate a magnetic field in a first direction, and an outer electromagnetic cosine-theta (cos ?) coil ring including a second plurality of outer coils embedded in the body and configured to generate a magnetic field in a second direction orthogonal to the first direction, wherein the outer electromagnetic cos ? coil ring is disposed concentrically about the inner electromagnetic cos ? coil ring.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: October 30, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Steven Lane, Tza-Jing Gung, Kartik Ramaswamy, Travis Koh, Joseph F. Aubuchon, Yang Yang
  • Patent number: 10074512
    Abstract: A system that may include a chamber, a motorized system, a chuck, a controller, multiple temperature sensors and a cooling module; wherein the chuck is configured to support an object that is positioned within the chamber; wherein the motorized system is configured to move the chuck in relation to the chamber; wherein the multiple temperature sensors are configured to sense multiple temperatures of at least one point within the chamber; wherein the cooling module is configured to cool a unit of the motorized system; and wherein the controller is configured to control the cooling module in response to the multiple temperatures.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: September 11, 2018
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Chun-Hsiang Yen, Barak Dee-Noor, Yuval Gronau, Ronen Hagai, Efim Vinnitsky, Yohanan Madmon
  • Patent number: 10066286
    Abstract: Provided is an apparatus for continuously nitriding a strip continuously being fed after cold rolling and before secondary recrystallization annealing in a production line of a grain-oriented electrical steel sheet, comprising: a nitriding zone for nitriding the strip; a cooling zone for cooling the strip; and an optional heating zone provided upstream of the nitriding zone for heating the strip, wherein, the nitriding zone is provided with glow discharge electrodes, and by plasma nitriding the strip by glow discharge with the glow discharge electrodes functioning as positive electrodes and the strip functioning as a negative electrode, inhibitor forming elements are uniformly dispersed over the full length and full width of the strip and a grain-oriented electrical steel sheet with excellent magnetic properties with no variation is obtained.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: September 4, 2018
    Assignee: JFE STEEL CORPORATION
    Inventors: Hiroshi Matsuda, Hideyuki Takahashi, Hiroi Yamaguchi, Yasuyuki Hayakawa, Takashi Terashima, Yuiko Wakisaka
  • Patent number: 10054363
    Abstract: A cryogenic dynamic cooling apparatus and a cooling method for heat assisted magnetic recording media substrate are provided. The cooling apparatus includes a chamber that is configured to receive a substrate. A substrate holder secures the substrate inside the chamber. The apparatus has a cooling plate that is movable between a retracted position and an extended position inside the chamber. The cooling plate provides clearance for movement of the substrate holder inside the chamber in the retracted position, and the cooling plate cools the substrate in the extended position. Also, the cooling plate is substantially parallel to and spaced apart from the substrate. The apparatus further includes a cryogenic operatively coupled to the cooling plate that is cooled by the cryogenic cooling element.
    Type: Grant
    Filed: August 15, 2014
    Date of Patent: August 21, 2018
    Assignee: WD Media, LLC
    Inventors: Chang B. Yi, Tatsuru Tanaka, Phi Cam Ha, Noe D. Taburaza, Yew Ming Chiong, Hongling Liu
  • Patent number: 10020197
    Abstract: Porogen accumulation in a UV-cure chamber is reduced by removing outgassed porogen through a heated outlet while purge gas is flowed across a window through which a wafer is exposed to UV light. A purge ring having specific major and minor exhaust to inlet area ratios may be partially made of flame polished quartz to improve flow dynamics. The reduction in porogen accumulation allows more wafers to be processed between chamber cleans, thus improving throughput and cost.
    Type: Grant
    Filed: May 20, 2015
    Date of Patent: July 10, 2018
    Assignee: Novellus Systems, Inc.
    Inventors: Lisa Gytri, Jeff Gordon, James Lee, Carmen Balderrama, Joseph Brett Harris, Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 10002782
    Abstract: A substrate processing apparatus for processing substrates comprises a processing chamber in which a substrate is processed. A process gas source is adapted to supply process gas into the processing chamber. A RF energy source is adapted to energize the process gas into a plasma state in the processing chamber. A vacuum source is adapted to exhaust byproducts of the processing from the processing chamber. The processing chamber includes an electrostatic chuck assembly having a layer of ceramic material that includes an upper electrostatic clamping electrode and at least one RF electrode, a temperature controlled RF powered baseplate, and at least one annular electrically conductive gasket extending along an outer portion of an upper surface of the temperature controlled RF powered baseplate. The at least one annular electrically conductive gasket electrically couples the upper surface of the temperature controlled RF powered baseplate to the at least one RF electrode.
    Type: Grant
    Filed: October 17, 2014
    Date of Patent: June 19, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Christopher Kimball, Keith Gaff, Alexander Matyushkin, Zhigang Chen, III, Keith Comendant
  • Patent number: 9958709
    Abstract: Embodiments of the present invention generally relate to an optical valve that modifies a laser beam to allow more energy to be irradiated onto less absorbing areas on a substrate and less energy to be irradiated onto more absorbing areas on the substrate, thus creating a more uniform heating field. The optical valve is a layered structure comprising a reflective switch layer, an absorbing layer, a thermal resistor and a thermal bath.
    Type: Grant
    Filed: August 13, 2014
    Date of Patent: May 1, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Bruce E. Adams