By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 9934945
    Abstract: A method includes forming a coating layer in a dry etching chamber, placing a wafer into the dry etching chamber, etching a metal-containing layer of the wafer, and moving the wafer out of the dry etching chamber. After the wafer is moved out of the dry etching chamber, the coating layer is removed.
    Type: Grant
    Filed: May 25, 2016
    Date of Patent: April 3, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu Chao Lin, Yuan-Ming Chiu, Ming-Ching Chang, Hsin-Yi Tsai, Chao-Cheng Chen
  • Patent number: 9911638
    Abstract: A plasma processing apparatus includes: a reaction chamber; a plasma generation unit; a stage disposed inside the reaction chamber; an electrostatic chuck mechanism including an electrode portion disposed inside the stage; a support portion which supports the conveyance carrier; and an elevation mechanism which elevates and lowers the support portion relative to the stage. In a case in which the conveyance carrier is mounted on the stage, the electrostatic chuck mechanism performs an operation of applying a voltage to the electrode portion after contact of an outer circumferential portion of a holding sheet of the conveyance carrier to the stage, the operation including a voltage varying operation of increasing and decreasing an absolute value of the voltage, and the plasma generation unit generates plasma after completion of the voltage varying operation.
    Type: Grant
    Filed: February 4, 2016
    Date of Patent: March 6, 2018
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Shogo Okita, Atsushi Harikai, Noriyuki Matsubara
  • Patent number: 9888527
    Abstract: Systems for processing semiconductor devices, and methods of processing semiconductor devices are disclosed. In some embodiments, a system for processing semiconductor devices includes a radiation energy source, a support, and a tool disposable between the support and the radiation energy source. The tool includes apertures adapted to retain a package component over the support. The system includes a cooling device proximate the support.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: February 6, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiu-Jen Lin, Wei-Yu Chen, Ai-Tee Ang, Ming-Da Cheng, Chung-Shi Liu
  • Patent number: 9885114
    Abstract: A film forming apparatus for performing a film forming process by sequentially supplying a plurality of reactant gases to a substrate and supplying a replacement gas includes a mounting table configured to mount thereon a substrate, and a shower head having a flat surface facing the mounting table and a plurality of gas supply opening. An annular protrusion is provided at the shower head to form a gap between the annular protrusion and a top surface of the mounting table. A plurality of gas supply units is provided at a ceiling portion at an upper side of the shower head. Each gas supply unit has gas discharge openings formed along a circumferential direction. The diffusion space is disposed such that an outer periphery of the diffusion space is located at an inner side of an outer periphery of the substrate mounted on the mounting table in a plan view.
    Type: Grant
    Filed: March 16, 2015
    Date of Patent: February 6, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Tetsuya Saitou, Tomohiro Oota, Toshio Takagi
  • Patent number: 9840771
    Abstract: A method of growing carbon nanotubes includes following steps. A reactor is constructed, wherein the reactor includes a reactor chamber and a rotating mechanism inside the reactor chamber. A carbon nanotube catalyst composite layer is applied, the carbon nanotube catalyst composite layer is configured to be rotated by the rotating mechanism in the reactor chamber, and the carbon nanotube catalyst composite layer includes a carbon nanotube layer and a number of catalyst particles dispersed in the carbon nanotube layer. The carbon nanotube catalyst composited layer is positioned inside the reactor chamber. A mixture of carbon source gas and carrier gas is introduced into the reactor chamber. The carbon nanotube catalyst composite layer is rotated. The carbon nanotube catalyst composite layer is heated to grow carbon nanotubes.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: December 12, 2017
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Yang Wu, Peng Liu, Yang Wei, Jia-Ping Wang, Kai-Li Jiang, Shou-Shan Fan
  • Patent number: 9828670
    Abstract: A loader device is arranged for densifying porous preforms of stackable shape by means of directed stream chemical vapor infiltration in a reaction chamber of an infiltration oven. The device comprises a support tray, a first stack having a plurality of bottom rings arranged on the support tray and a plurality of injection orifices, a second stack comprising a plurality of top rings and a plurality of discharge orifices extending between the outer periphery and inner periphery of each ring. The device includes a first non-porous wall corresponding to the porous preforms and arranged on the support tray inside the bottom rings of the first stack, and a second non-porous wall corresponding to the porous preforms extending between the bottom ring situated at the top of the first stack and the top ring situated at the top of the second stack.
    Type: Grant
    Filed: July 3, 2013
    Date of Patent: November 28, 2017
    Assignee: HERAKLES
    Inventors: Stephane Goujard, Sebastien Bertrand, Adrien Delcamp, Franck Beauvais
  • Patent number: 9826574
    Abstract: A thermal system includes a plurality of resistor circuits that define a number of resistor circuits Rn. The thermal system also has a plurality of nodes that connect the plurality of resistor circuits and define a number of nodes Nn. A plurality of power wires are connected to each of the plurality of nodes, and the plurality of power wires define a number of power wires Pn. A plurality of signal wires connect to each of the plurality of nodes to sense the temperature of each of the resistor circuits, and the plurality of signal wires define a number of signal wires Sn. The number of power wires Pn and the number of signal wires Sn is equal to the number of nodes Nn, and the number of resistor circuits Rn is greater than or equal to the number of nodes Nn.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: November 21, 2017
    Assignee: WATLOW ELECTRIC MANUFACTURING COMPANY
    Inventors: Jacob Lindley, Cal Swanson
  • Patent number: 9818512
    Abstract: A thin film resistor formed using thermal spraying techniques in the manufacturing process is provided. A thin film resistor and method of manufacturing a thin film resistor are disclosed including a thermally sprayed resistive element. An alloy bond layer may be applied to a substrate and a thermally sprayed resistive layer is applied to the alloy bond layer by a thermal spraying process to form a thermally sprayed resistive element. The alloy bond layer and the thermally sprayed resistive layer may have the same chemical composition.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: November 14, 2017
    Assignee: VISHAY DALE ELECTRONICS, LLC
    Inventors: Tom J. Martin, Clark Smith, Jeff Traikoff
  • Patent number: 9815698
    Abstract: This method improves a carbon nanotube growth environment. In this method of manufacturing carbon nanotubes, the supply amount of catalyst activating material supplied in a carbon nanotube growing step is adjusted to the supply amount of catalyst activating material supplied at the time of maximum concentration of a gas component among multiple measurements made in the growing step, the gas component being at least one selected from the group consisting of hydrogen, methane, and ethane.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: November 14, 2017
    Assignee: ZEON CORPORATION
    Inventor: Akiyoshi Shibuya
  • Patent number: 9818630
    Abstract: A substrate processing apparatus includes a first reaction chamber including: a first heating unit, a first processing space, and a first transfer space disposed under the first processing space, a second reaction chamber including: a second heating unit, a second processing space, and a second transfer space disposed under the second processing space; a first sidewall and a second sidewall defining the first reaction chamber and the second reaction chamber, wherein the first sidewall is shared by the first reaction chamber and the second reaction chamber, and a cooling channel disposed in the first sidewall and the second sidewall such that a cooling efficiency of the first sidewall is higher than that of the second sidewall, wherein the first reaction chamber and the second reaction chamber are disposed adjacent to each other with the first sidewall therebetween.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: November 14, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Akira Takahashi, Kazuyuki Toyoda
  • Patent number: 9814099
    Abstract: Methods and apparatus are provided for reducing the thermal signal noise in process chambers using a non-contact temperature sensing device to measure the temperature of a component in the process chamber. In some embodiments, a susceptor for supporting a substrate in a process chamber includes a first surface comprising a substrate support surface; and a second surface opposite the first surface, wherein a portion of the second surface comprises a feature to absorb incident radiant energy.
    Type: Grant
    Filed: July 7, 2014
    Date of Patent: November 7, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shu-Kwan Lau, Joseph M. Ranish, Paul Brillhart, Mehmet Tugrul Samir
  • Patent number: 9799543
    Abstract: A transportable process box for processing substrates coated on one side is described. The box has a base for the placement of a first substrate in a manner such that the latter is supported over the full area, a frame, a cover which is placed onto the frame, and an intermediate element which is arranged between the base and the cover and is intended for the placement of a second substrate in a manner such that the latter is supported over the full area. Arrangements and methods for processing substrates are also described.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: October 24, 2017
    Assignee: SAINT-GOBAIN GLASS FRANCE
    Inventors: Martin Fuerfanger, Dang Cuong Phan, Stefan Jost
  • Patent number: 9790589
    Abstract: Embodiments of the present disclosure provides apparatus and method for stabilizing substrate temperature by flowing a flow of cooling gas to an inlet of cooling channels in a substrate support, receiving the flow of cooling gas from an outlet of the cooling channel using a heat exchanger, and releasing the cooling gas to an immediate environment, such as a cleanroom or a minienvironment.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: October 17, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Brian West, Michael S. Cox, Jeonghoon Oh
  • Patent number: 9768043
    Abstract: Embodiments of the present disclosure relate to a dome assembly. The dome assembly includes an upper dome including a central window, and an upper peripheral flange engaging the central window at a circumference of the central window, wherein a tangent line on an inside surface of the central window that passes through an intersection of the central window and the upper peripheral flange is at an angle of about 8° to about 16° with respect to a planar upper surface of the peripheral flange, a lower dome comprising a lower peripheral flange and a bottom connecting the lower peripheral flange with a central opening, wherein a tangent line on an outside surface of the bottom that passes through an intersection of the bottom and the lower peripheral flange is at an angle of about 8° to about 16° with respect to a planar bottom surface of the lower peripheral flange.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: September 19, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Anzhong Chang, Paul Brillhart, Surajit Kumar, Satheesh Kuppurao, Mehmet Tugrul Samir, David K. Carlson, Steve Aboagye, Anh N. Nguyen, Kailash Kiran Patalay, Joseph M. Ranish, Oleg Serebryanov, Dongming Iu, Shu-Kwan Lau, Zuoming Zhu, Herman Diniz
  • Patent number: 9758857
    Abstract: The present invention is to provide a deposition device capable of coping with a size change of a substrate only by replacing a magnet unit and a target material. A deposition device (1) of the present invention is to perform deposition onto a surface of a substrate W to be conveyed by using an evaporation source (2) facing a front surface of the substrate (W), and the evaporation source (2) has a target material (7), a backing plate (8), a magnet unit (9), a cathode body (10), and a cooling water flow passage (12). The cooling water flow passage (12) is a space formed by separating the magnet unit (9) and the backing plate (8), and the cooling water can be distributed through this space. As the magnet unit (9), a short magnet unit can be arranged in correspondence with a narrow-width substrate having narrower width than that of the substrate (W), and as the target material (7), a short target material is arranged in correspondence with width of the arrange magnet unit (9).
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: September 12, 2017
    Assignee: Kobe Steel, Ltd.
    Inventors: Asuka Nagamine, Hiroshi Tamagaki
  • Patent number: 9754807
    Abstract: Apparatus for providing pulsed or continuous energy in a process chamber are provided herein. The apparatus may include: a process chamber body of the semiconductor process chamber; one or more solid state source arrays providing pulsed or continuous energy to the process chamber, wherein each of the one or more solid state source arrays include a substrate having a plurality of solid state light sources disposed on a first surface of the substrate, wherein the plurality of solid state light sources are connected in series and in a recursive pattern on the first surface of the substrate, and a heat sink coupled to a second surface of the substrate configured to remove heat from the substrate; and a power source coupled to the one or more solid state source arrays to electrically power the plurality of solid state sources.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: September 5, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: John Gerling, Joseph M. Ranish, Oleg V. Serebryanov, Joseph Johnson
  • Patent number: 9752253
    Abstract: Disclosed herein is an epitaxial growth apparatus for growing an epitaxial layer on a surface of a wafer. The apparatus includes: a chamber in which the wafer is housed; an upper lamp group that includes a plurality of heating lamps arranged in a ring above the chamber; a lower lamp group that includes a plurality of heating lamps provided below the chamber; a reflection member that is provided inside the ring of the upper lamp group, the reflection member having a substantially cylindrical shape; and an additional reflection member that is provided inside the reflection member, the additional reflection member including a reflection surface that is substantially parallel to the surface of the wafer. The additional reflection member is provided in such a way as to close at least part of an opening of a lower end portion of the reflection member.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: September 5, 2017
    Assignee: SUMCO CORPORATION
    Inventors: Kan Yoshitake, Kimitaka Okamoto, Haruki Shoji
  • Patent number: 9741453
    Abstract: There is provided a prober chuck capable of carrying out low leakage evaluation on a magnetic memory under environment in which a magnetic field is applied. A prober chuck 1 for a magnetic memory retains a wafer W having a magnetic memory formed thereon. The chuck 1 includes: a chuck top 10 that is made of a conductive material and has a wafer W placed thereon; an insulating layer 11 that is made of an insulating material and is adapted to support the bottom surface of the chuck top 10; and a guard layer 12 that is made of a conductive material and is arranged under the insulating layer 11, the guard layer being insulated from the chuck top 10 via the insulating layer 11. All of the members constituting the chuck 1 including the chuck top 10 and the guard layer 12 are made of a non-magnetic material.
    Type: Grant
    Filed: February 4, 2014
    Date of Patent: August 22, 2017
    Assignee: TOEI SCIENTIFIC INDUSTRIAL CO., LTD.
    Inventors: Tomokazu Yamashiro, Hiroyuki Kenju, Ryoichi Utsumi, Shigeyuki Sato
  • Patent number: 9735068
    Abstract: A method of manufacturing a semiconductor device includes receiving film thickness distribution data of a polished first insulating film of a substrate; calculating processing data for reducing a difference between a film thickness at a center side of the substrate and a film thickness at a periphery side of the substrate, based on the film thickness distribution data; loading the substrate into a process chamber; supplying a process gas to the substrate; and correcting a film thickness of the first insulating film based on the processing data by activating the process gas so that a concentration of active species of the process gas generated at the center side of the substrate differs from a concentration of active species of the process gas generated at the periphery side of the substrate.
    Type: Grant
    Filed: February 4, 2016
    Date of Patent: August 15, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Naofumi Ohashi, Masanori Nakayama, Atsuhiko Suda, Kazuyuki Toyoda, Shun Matsui
  • Patent number: 9728380
    Abstract: Apparatuses and techniques for providing for variable radial flow conductance within a semiconductor processing showerhead are provided. In some cases, the radial flow conductance may be varied dynamically during use. In some cases, the radial flow conductance may be fixed but may vary as a function of radial distance from the showerhead centerline. Both single plenum and dual plenum showerheads are discussed.
    Type: Grant
    Filed: July 17, 2015
    Date of Patent: August 8, 2017
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Mohn, Shawn M. Hamilton, Harald te Nijenhuis, Jeffrey E. Lorelli, Kevin Madrigal
  • Patent number: 9728418
    Abstract: An etching method for performing a plasma etching on an object to be processed by using a supplied gas is provided. In the etching method, a temperature of a focus ring is adjusted by using a first temperature adjustment mechanism controllable independently of a temperature control of the object to be processed while measuring a time variation until the temperature of the focus ring reaches a target value. A degree of consumption of the focus ring is estimated from the measured time variation based on a preliminarily set correlation between the time variation and the degree of consumption of the focus ring. The target value of the temperature of the focus ring is corrected based on the estimated degree of consumption of the focus ring.
    Type: Grant
    Filed: May 21, 2014
    Date of Patent: August 8, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Keigo Toyoda, Masaru Isago, Hiroshi Tsujimoto
  • Patent number: 9721910
    Abstract: To shorten a maintenance time of a semiconductor manufacturing apparatus and to improve productivity of a semiconductor manufacturing line. A semiconductor wafer is processed by the semiconductor manufacturing apparatus in which reaction product in the inside of a wafer lift pin hole was removed using a cleaning jig having a return on its tip part.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: August 1, 2017
    Assignee: Renesas Electronics Corporation
    Inventor: Yohei Hamaguchi
  • Patent number: 9695511
    Abstract: A substrate processing apparatus including a vertical reaction container; an insulating wall formed of an insulating material and including a reaction container accommodation chamber for accommodating the reaction container therein; a heater installed in an inner wall of the reception container reception chamber on the insulating wall; an air circulation channel installed vertically in a sidewall of the insulating wall; a blower for distributing air upward or downward in the air circulation channel; intake valves for communicating the air circulation channel with the air; and exhaust valves for communicating the air circulation channel with an equipment exhaust system. In a temperature elevating process and a temperature lowering process, the intake valves and the exhaust valves are switched.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: July 4, 2017
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hitoshi Murata, Tetsuya Kosugi, Masaaki Ueno, Masashi Sugishita
  • Patent number: 9695513
    Abstract: A hydrophobization treatment apparatus includes a cooling device which cools a substrate, a light irradiation device which irradiates thermal radiation light from light sources onto front surface of the substrate, a gas supply device which supplies hydrophobization-treatment gas to the substrate, an exhaust device which exhausts the gas, a lifting device which moves the substrate such that the lifting device raises and lowers the substrate between the cooling device and light sources, and a control device which has circuitry to control the light irradiation device, the gas supply device, the exhaust device and the lifting device. The circuitry of the control device executes first gas supply control to discharge and exhaust the gas into and from the space between the gas container and substrate, and after the first control, second gas supply control to discharge and exhaust the gas into and from the space between the gas container and substrate.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: July 4, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Kenichi Ueda
  • Patent number: 9687887
    Abstract: A substrate processing apparatus includes a substrate holding means that holds a substrate horizontally, a substrate rotating means that rotates a substrate held by the substrate holding means about a vertical rotation axis passing through the substrate, a discharging member that discharges a processing liquid toward the substrate, and a high-temperature processing liquid pipe that supplies a processing liquid of a temperature higher than that of the discharging member to the flow passage. The discharging member includes a plurality of discharge ports respectively disposed at a plurality of positions different in distance from the rotation axis and a flow passage connected sequentially to the plurality of discharge ports in order from outside to inside. The discharging member discharges a processing liquid supplied from the flow passage to the plurality of discharge ports from the plurality of discharge ports toward the substrate.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: June 27, 2017
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Atsuyasu Miura
  • Patent number: 9681497
    Abstract: An electrostatic chuck assembly including a dielectric layer with a top surface to support a workpiece. A cooling channel base disposed below the dielectric layer includes a plurality of fluid conduits disposed beneath the top surface. A chuck assembly further includes a plurality of resistive heater rods spatially distribute across the chuck assembly. In embodiments, 169 heater rods and three heat transfer fluid flow controls are independently controlled during execution of a plasma etch process.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: June 13, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Roy Nangoy, Dmitry Lubomirsky
  • Patent number: 9664460
    Abstract: A temperature control system 1 includes a joint path 71 that supplies a fluid from a low-temperature path 76 having a variable valve 79a and a high-temperature path 77 having a variable valve 79c to a temperature regulation member 70; a bypass path 73 that has a variable valve 79b and circulates the fluid flowing a collection path 72 that collects the supplied fluid through the temperature regulation member; a circulation pump 87 at the collection path; and a tank 78 that is provided at an upstream side of the circulation pump and supplies the fluid to the circulation pump when an amount of the fluid reaches a preset amount. The variable valves 79a and 79b are opened to supply the fluid, and the valves 79b and 79c are opened to supply the fluid after detecting that the amount of the fluid in the tank 78 reaches the preset amount.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: May 30, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Atsuhiko Tabuchi
  • Patent number: 9644894
    Abstract: Provided is a heat treatment container having a small size and capable of efficiently performing a heat treatment on a SiC substrate. A heat treatment container is a container for a heat treatment on a SiC substrate 40 under Si vapor pressure. The SiC substrate 40 is made of, at least in a surface thereof, single crystal SiC. The heat treatment container includes a container part 30 and a substrate holder 50. The container part 30 includes an internal space 33 in which Si vapor pressure is caused. The internal space 33 is partially open. The substrate holder 50 is able to support the SiC substrate 40. When the substrate holder 50 supports the SiC substrate 40, an open portion of the container part 30 is covered so that the internal space 33 is hermetically sealed.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: May 9, 2017
    Assignee: TOYO TANSO CO., LTD.
    Inventors: Satoshi Torimi, Norihito Yabuki, Satoru Nogami
  • Patent number: 9644895
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber providing an inner space in which a process with respect to a substrate is performed, a heating plate on which the substrate is placed, the heating plate being fixedly disposed within the chamber, a heater spaced from a lower portion of the heating plate to heat the heating plate, and a lift module lifting the heater.
    Type: Grant
    Filed: April 3, 2013
    Date of Patent: May 9, 2017
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Byoung-Gyu Song, Kyong-Hun Kim, Yong-Ki Kim, Yang-Sik Shin
  • Patent number: 9642193
    Abstract: A low-oxygen atmosphere apparatus including a chamber which houses an object to be processed, microwave generators, and waveguides through which a microwave generated in the microwave generators is transmitted, and further including, in the chamber and/or the waveguides, oxygen-reducing materials to lower the oxygen concentration of the atmosphere in the chamber, which generates heat by the microwave in order to accelerate a reducing reaction of oxygen, thereby lowering the oxygen concentration of the atmosphere in the chamber.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: May 2, 2017
    Assignee: DENSO CORPORATION
    Inventor: Yuta Kondo
  • Patent number: 9576828
    Abstract: The present disclosure provides a thermal treatment chamber. The thermal treatment chamber includes a wafer holder to hold a to-be-processed wafer; a heat reservoir located under the wafer holder, but being separated from the wafer holder, for adjusting a temperature of the wafer holder based on the to-be-processed wafer; and a first driving unit connected to the heat reservoir for adjusting a distance between the wafer holder and the heat reservoir to adjust the temperature of the wafer holder.
    Type: Grant
    Filed: July 22, 2015
    Date of Patent: February 21, 2017
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Qiang Wu, Huayong Hu, Deping Kong
  • Patent number: 9530988
    Abstract: Provided are an electrostatic chuck system and a method of manufacturing an organic light-emitting display apparatus by using the electrostatic chuck system. The electrostatic chuck system comprises: a stage in which a first electrode and a second electrode are arranged, the first electrode having a first polarity and the second electrode having a second polarity that is different from the first polarity; a display substrate on the stage, the display substrate including a pixel electrode on a surface thereof; and an optical mask over the surface of the display substrate, the optical mask including a reflection layer and a transfer layer to be transferred to the display substrate, wherein the display substrate has one of the first and second polarities, and the optical mask has the other of the first and second polarities as the display substrate.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: December 27, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Duckjung Lee, Kiyoung Yun, Jungsun Park
  • Patent number: 9524893
    Abstract: An inactive gas introducing facility includes an introducing device disposed in a support portion supporting a container accommodating a substrate and configured for introducing inactive gas to the inside of the container through a gas feed opening of the container with discharging gas present inside the container to the outside through a gas discharge opening of the container and a controller for controlling operation of the introducing device. The introducing device is capable of varying the feed rate of the inactive gas. The controller is configured to control the operation of the introducing device such that in the feeding of the inactive gas to the container supported to the support portion, the feed rate is increased progressively to a target feed rate.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: December 20, 2016
    Assignee: Daifuku Co., Ltd.
    Inventors: Masahiro Takahara, Toshihito Ueda
  • Patent number: 9487873
    Abstract: A carbon electrode has a conical or pyramidal tip, wherein the tip is surrounded on its side by a raised edge.
    Type: Grant
    Filed: August 26, 2015
    Date of Patent: November 8, 2016
    Assignee: Wacker Chemie AG
    Inventor: Heinz Kraus
  • Patent number: 9456471
    Abstract: A heat treatment apparatus includes a hot plate, at least two heat sources, and a switching mechanism. The hot plate serves as the heating medium between the at least two heat sources and the substrate to be processed, the switching mechanism is configured in such a way that the hot plate contacts one or two of the at least two heat sources selectively, the processing temperature of the hot plate can be changed quickly from one temperature to another temperature.
    Type: Grant
    Filed: June 30, 2013
    Date of Patent: September 27, 2016
    Inventor: Weifeng Ou
  • Patent number: 9449784
    Abstract: A charged particle beam instrument is offered which can introduce cooled samples easily into a sample chamber. The charged particle beam instrument (100) of the present invention has: a sample container (10) that accommodates samples (S) and a refrigerant (6) for cooling the samples (S); an evacuated sample chamber (20); a sample exchange chamber (30) connected with the sample chamber (20); a partition valve (40) disposed between the sample exchange chamber (30) and the sample container (10); and vacuum pumping equipment (50) for evacuating the sample container (10). The sample container (10) can be connected with the sample exchange chamber (30) via the partition valve (40). The sample container (10) is evacuated by the vacuum pumping equipment (50) while the partition valve (40) is closed.
    Type: Grant
    Filed: October 22, 2014
    Date of Patent: September 20, 2016
    Assignee: JEOL Ltd.
    Inventor: Tatsuo Naruse
  • Patent number: 9373484
    Abstract: A plasma generator according to an embodiment of the present invention is provided to generate a high density and stable plasma at near atmospheric pressure by preventing a transition of plasma to arc. The plasma generator includes a plate-shaped lower electrode for seating a substrate; and a cylindrical rotating electrode on the plate-shaped lower electrode, wherein the cylindrical rotating electrode includes an electrically conductive body that is connected to a power supply and includes a plurality of capillary units on an outer circumferential surface of the electrically conductive body; and an insulation shield layer that is made of an insulation material or a dielectric material, exposes a lower surface of the plurality of capillary units, and shields other parts.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: June 21, 2016
    Assignee: KOREA INSTITUTE OF MACHINERY & MATERIALS
    Inventors: Kee-Seok Nam, Jung-Dae Kwon, Yong Soo Jeong, Gun Hwan Lee, Jung Heum Yoon, Sung Hun Lee, Dong Ho Kim, Jae Wook Kang, Sung Gyu Park, Chang Su Kim
  • Patent number: 9330899
    Abstract: A method for forming a silicon germanium oxide thin film on a substrate in a reaction space may be performed using an atomic layer deposition (ALD) process. The process may include at least one cycle comprising a germanium oxide deposition sub-cycle and a silicon oxide deposition sub-cycle. The germanium oxide deposition sub-cycle may include contacting the substrate with a germanium reactant, removing excess germanium reactant, and contacting the substrate with a first oxygen reactant. The silicon oxide deposition sub-cycle may include contacting the substrate with a silicon reactant, removing excess silicon reactant, and contacting the substrate with a second oxygen reactant. The films of the present disclosure exhibit desirable etch rates relative to thermal oxide. Depending on the films' composition, the etch rates may be higher or lower than the etch rates of thermal oxide.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: May 3, 2016
    Assignee: ASM IP HOLDING B.V.
    Inventors: In Soo Jung, Eun Kee Hong, Seung Woo Choi, Dong Seok Kang, Yong Min Yoo, Pei-Chung Hsiao
  • Patent number: 9222732
    Abstract: Provided is a substrate processing apparatus capable of maintaining a temperature of a furnace port part at a heat-resistant temperature or less of each member constituting the furnace part. The substrate processing apparatus includes a process chamber configured to process a plurality of substrates vertically stacked at predetermined intervals; a substrate retainer configured to hold the plurality of substrates in the process chamber; and a first heat exchanger installed in the process chamber to support the substrate retainer from a lower portion of the substrate retainer, and configured to perform a heat exchange with a gas flowing in a downward direction from a side of the substrate retainer in the process chamber, wherein the first heat exchanger includes a hollow cylindrical insulating tube vertically extending in the downward direction and an insulating plate installed in the insulating tube, and regions in the insulating tube over and under the insulating plate are spatially connected to each other.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: December 29, 2015
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kenji Shirako, Takatomo Yamaguchi, Shuhei Saido, Akihiro Sato
  • Patent number: 9177842
    Abstract: Substrate transport systems, apparatus, and methods are described. In one aspect, the systems are disclosed having vertically stacked transfer chamber bodies. In one embodiment, a common robot apparatus services process chambers or load lock chambers coupled to upper and lower transfer chamber bodies. In another embodiment, separate robot apparatus service the process chambers and/or load lock chambers coupled to upper and lower transfer chamber bodies, and an elevator apparatus transfers the substrates between the various elevations. Degassing apparatus are described, as are numerous other aspects.
    Type: Grant
    Filed: August 9, 2012
    Date of Patent: November 3, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Eric A. Englhardt, Richard Giljum, Jeffrey C. Hudgens, Igor G. Kogan, Michael Robert Rice, Sushant S. Koshti
  • Patent number: 9169560
    Abstract: A polycrystalline silicon producing method with preventing meltdown and maintaining a high growing rate and a high yield by increasing temperature of raw material gas before supplying them to a reactor in a high pressure state so as to lower convection heat transfer from a silicon rod, including: supplying electric current to a silicon seed rod in a reactor to make the silicon seed rod to generate heat; and supplying a large amount of preheated raw material gas including chlorosilanes to the silicon seed rod in the reactor in the high pressure state.
    Type: Grant
    Filed: June 18, 2013
    Date of Patent: October 27, 2015
    Assignee: MITSUBISHI MATERIALS CORPORATION
    Inventors: Makoto Urushihara, Kazuki Mizushima
  • Patent number: 9090474
    Abstract: A reactor includes a reactor chamber and a carbon nanotube catalyst composite layer. The reactor chamber has an inlet and an outlet. The carbon nanotube catalyst composite layer rotates in the reactor chamber, wherein the carbon nanotube catalyst composite layer defines a number of apertures, gases in the reactor chamber flow penetrate the carbon nanotube catalyst composite layer through the plurality of apertures.
    Type: Grant
    Filed: April 24, 2013
    Date of Patent: July 28, 2015
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Yang Wu, Peng Liu, Yang Wei, Jia-Ping Wang, Kai-Li Jiang, Shou-Shan Fan
  • Patent number: 9091491
    Abstract: A cooling plate includes a channel to transmit a fluid, wherein the channel is disposed within a base and the channel has a first portion and a second portion. The first portion is disposed substantially along a peripheral edge of the base, and the second portion is coupled to the first portion and is disposed further away from the peripheral edge of the base than the first portion. The second portion has a length that is at least about 35% as long as the length of the first portion. The cooling plate also includes a lid disposed over the base and the channel, wherein the lid provides support for a substrate.
    Type: Grant
    Filed: October 6, 2008
    Date of Patent: July 28, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Helder Lee, Miriam Schwartz, Michael Kuchar, Aaron Webb, Theodoss Costuros
  • Patent number: 9068264
    Abstract: Disclosed is about an epitaxial growth system, including an epitaxial growth reactor chamber, a susceptor including a supporting surface and disposed in the epitaxial growth reactor chamber, and a plurality of wafer fixing elements disposed on the supporting surface. The supporting surface of the susceptor includes a rim, and each of the wafer fixing elements includes a boundary. At least three first heating elements are disposed under the susceptor and arranged in parallel to the supporting surface.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: June 30, 2015
    Assignee: EPISTAR CORPORATION
    Inventors: Tzu-Ching Yang, Chung-Ying Chang
  • Patent number: 9070820
    Abstract: The invention relates to a method for treating a silicon substrate for the production of photovoltaic cells against reduction in yield during the illumination of said photovoltaic cells. The invention also relates to a method for producing photovoltaic cells from the treated substrate. To said end, the invention relates to a method for treating a silicon substrate for the production of photovoltaic cells, said method including the following steps: a) providing a silicon substrate obtained from a metallurgically purified load, and b) annealing said substrate by heating the substrate to a temperature between 880° C. and 930° C. for a duration of between one and four hours, preferably at a temperature of 900° C., give or take 10° C., for two hours, give or take 10 minutes.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: June 30, 2015
    Assignee: Commissariat a L'Energie Atomique et aux Energies Alternatives
    Inventors: Sebastien Dubois, Nicolas Enjalbert
  • Publication number: 20150147892
    Abstract: A method for fabricating a semiconductor structure is provided, including: providing a solid precursor having a first average particle size; solving the solid precursor in an organic solvent into an intermediate; recrystallizing the intermediate to form solid granules, wherein the solid granules has a second average particle size larger than the first average particle size; vaporizing the solid granules to form a film-forming gas; and depositing the film-forming gas on a substrate to form a resistance film. A method for modifying a resistance film source in a semiconductor fabrication and a solid precursor delivery system are also provided. The method for fabricating a semiconductor structure in the present disclosure can remove small particles or ultra-small particles from solid precursor, and does not need extra time to dump cracked solid precursor.
    Type: Application
    Filed: November 27, 2013
    Publication date: May 28, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chung-Liang CHENG, Chien-Hao TSENG, Yen-Yu CHEN, Ching-Chia WU, Chang-Sheng LEE, Wei ZHANG
  • Patent number: 9038565
    Abstract: Systems for the sustained, high-volume production of Group III-V compound semiconductor material suitable for fabrication of optic and electronic components, for use as substrates for epitaxial deposition, or for wafers. The equipment is optimized for producing Group III-N (nitrogen) compound semiconductor wafers and specifically for producing GaN wafers. The method includes reacting an amount of a gaseous Group III precursor as one reactant with an amount of a gaseous Group V component as another reactant in a reaction chamber to form the semiconductor material; removing exhaust gases including unreacted Group III precursor, unreacted Group V component and reaction byproducts; and heating the exhaust gases to a temperature sufficient to reduce condensation thereof and enhance manufacture of the semiconductor material. Advantageously, the exhaust gases are heated to sufficiently avoid condensation to facilitate sustained high volume manufacture of the semiconductor material.
    Type: Grant
    Filed: October 16, 2013
    Date of Patent: May 26, 2015
    Assignee: Soitec
    Inventors: Chantal Arena, Christiaan Werkhoven
  • Patent number: 9040434
    Abstract: A film deposition method includes a step of condensing hydrogen peroxide on a substrate including a concave portion formed in a surface thereof by supplying a gas containing the hydrogen peroxide, and a step of supplying a silicon-containing gas reactable with the hydrogen peroxide to the substrate having the hydrogen peroxide condensed thereon.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: May 26, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Hitoshi Kato
  • Publication number: 20150140835
    Abstract: A substrate processing apparatus is disclosed. The substrate processing apparatus includes a process chamber configured to accommodate a substrate; a gas supply unit configured to supply a process gas into the process chamber; a lid member configured to block an end portion opening of the process chamber; an end portion heating unit installed around a side wall of an end portion of the process chamber; and a thermal conductor installed on a surface of the lid member in an inner side of the process chamber, and configured to be heated by the end portion heating unit.
    Type: Application
    Filed: January 29, 2015
    Publication date: May 21, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hideto TATENO, Yuichi WADA, Hiroshi ASHIHARA, Keishin YAMAZAKI, Takurou USHIDA, Iwao NAKAMURA, Manabu IZUMI
  • Publication number: 20150136027
    Abstract: A trap mechanism for trapping exhaust gas from a process chamber. The trap assembly includes a housing containing a plurality of trap units. The plurality of trap units are arranged successively along a flow direction of said exhaust gas. Each trap unit includes a set of trap panels parallel to each other and spaced apart from each other. The two opposite surfaces with a larger area of each trap panel are oriented substantially parallel to a flow direction of the exhaust gas flow. The two opposite surfaces with a smaller area of each trap panels are oriented orthogonal to the exhaust gas flow.
    Type: Application
    Filed: September 19, 2014
    Publication date: May 21, 2015
    Inventors: Masamichi HARA, Kaoru YAMAMOTO, Yasushi MIZUSAWA