Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 7837800
    Abstract: The present invention relates to a CMP polishing slurry, comprising cerium oxide particles, a dispersing agent, a water-soluble polymer and water, wherein the water-soluble polymer is a compound having a skeleton of any one of an N-mono-substituted product and an N,N-di-substituted product of any one selected from the group consisting of acrylamide, methacrylamide and ?-substituted products thereof. The amount of the water-soluble polymer is preferably in the range of 0.01 part or more by weight and 10 parts or less by weight for 100 parts by weight of the polishing slurry. Thus it is possible to provide a polishing slurry and a polishing method which make it possible to polish a film made of silicon oxide or the like effectively and rapidly and further control the process therefor easily in CMP technique for flattening an interlayer insulating film, a BPSG film, an insulator film for shallow trench isolation, and other films.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: November 23, 2010
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Masato Fukasawa, Masato Yoshida, Naoyuki Koyama, Yuto Ootsuki, Chiaki Yamagishi, Kazuhiro Enomoto, Kouji Haga, Yasushi Kurata
  • Patent number: 7838482
    Abstract: The present invention relates to a CMP polishing slurry, comprising cerium oxide particles, a dispersing agent, a water-soluble polymer and water, wherein the water-soluble polymer is a compound having a skeleton of any one of an N-mono-substituted product and an N,N-di-substituted product of any one selected from the group consisting of acrylamide, methacrylamide and ?-substituted products thereof. The amount of the water-soluble polymer is preferably in the range of 0.01 part or more by weight and 10 parts or less by weight for 100 parts by weight of the polishing slurry. Thus it is possible to provide a polishing slurry and a polishing method which make it possible to polish a film made of silicon oxide or the like effectively and rapidly and further control the process therefor easily in CMP technique for flattening an interlayer insulating film, a BPSG film, an insulator film for shallow trench isolation, and other films.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: November 23, 2010
    Assignee: Hitachi Chemical Co. Ltd.
    Inventors: Masato Fukasawa, Masato Yoshida, Naoyuki Koyama, Yuto Ootsuki, Chiaki Yamagishi, Kazuhiro Enomoto, Kouji Haga, Yasushi Kurata
  • Publication number: 20100275951
    Abstract: A process for treating the surface of a substrate in the manufacture of a semiconductor device. The process comprises providing a concentrated acid or base, a peroxide and water, and delivering the acid or base, the peroxide and the water to the surface of the substrate. The acid or base and the water are delivered separately to the surface of the substrate and allowed to mix on the surface, and the water is delivered in pulses. The present invention also provides an apparatus adapted to carry out this process.
    Type: Application
    Filed: January 9, 2008
    Publication date: November 4, 2010
    Applicant: Freescale Semiconductor, Inc.
    Inventor: Tony Vessa
  • Patent number: 7825079
    Abstract: The invention relates to compositions and methods for cleaning integrated circuit substrates. The compositions are in the form of an aqueous solution and include a quaternary ammonium hydroxide compound and a chelating compound. The chelating compound includes either boric acid or at least one N-substituted aminocarboxylate selected from the group consisting of N-bis(2-hydroxyethyl)glycine(bicine), N-tris(hydroxymethyl)methyl glycine (tricine) and mixtures thereof, and can optionally include glycine, Iminodiacetic acid (IDA), Nitrilo trizacetic acid (NTA), Ethylenediammine Tetraacetic acid (EDTA), or mixtures thereof.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: November 2, 2010
    Assignee: EKC Technology, Inc.
    Inventors: Tomoco Suzuki, Atsushi Otake
  • Patent number: 7819980
    Abstract: A system for semiconductor wafer manufacturing, comprises a chamber process path for processing the wafer, and a device operable to remove particles from the wafer by electrostatic and electromagnetic methodologies wherein the device is installed in the chamber process path.
    Type: Grant
    Filed: August 16, 2005
    Date of Patent: October 26, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Yuan Hsia, Chang-Cheng Hung, Chi-Lun Lu, Shih-Ming Chang, Wen-Chuan Wang, Yen-Bin Huang, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 7816313
    Abstract: A photoresist residue remover composition is provided that removes a photoresist residue formed by a resist ashing treatment after dry etching in a step of forming, on a substrate surface, wiring of any metal of aluminum, copper, tungsten, and an alloy having any of these metals as a main component, the composition including one or two or more types of inorganic acid and one or two or more types of inorganic fluorine compound. There is also provided a process for producing a semiconductor circuit element wherein, in a step of forming wiring of any metal of aluminum, copper, tungsten, and an alloy having any of these metals as a main component, the photoresist residue remover composition is used for removing a photoresist residue formed by a resist ashing treatment after dry etching.
    Type: Grant
    Filed: April 8, 2008
    Date of Patent: October 19, 2010
    Assignees: Kanto Kagaku Kabushiki Kaisha, Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Kawamoto, Mikie Miyasato, Takuo Oowada, Norio Ishikawa
  • Patent number: 7816312
    Abstract: The present invention provides a composition for photoresist stripping solution which shows a superior stripping property of photoresists and damaged photoresist layers remained after dry etching in the fabrication process of semiconductor circuit devices, without attacking new wiring materials and interlayer insulating film materials, as well as a process of stripping of photoresists and damaged photoresist layers. The composition for photoresist stripping solution which contains at least one of acetylene alcohol compounds and organic sulfonic acid compounds, and at least one of polyvalent alcohols and their derivatives is used.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: October 19, 2010
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Takuo Oowada, Kaoru Ikegami
  • Publication number: 20100258142
    Abstract: The embodiments provide apparatus and methods for removing particles from a substrate surface, especially from a surface of a patterned substrate (or wafer). The cleaning apparatus and methods have advantages in cleaning patterned substrates with fine features without substantially damaging the features on the substrate surface. The cleaning apparatus and methods involve using a viscoelastic cleaning material containing a polymeric compound with large molecular weight, such as greater than 10,000 g/mol. The viscoelastic cleaning material entraps at least a portion of the particles on the substrate surface. The application of a force on the viscoelastic cleaning material over a sufficiently short period time causes the material to exhibit solid-like properties that facilitate removal of the viscoelastic cleaning material along with the entrapped particles. A number of forces can be applied over a short period to access the solid-like nature of the viscoelastic cleaning material.
    Type: Application
    Filed: April 14, 2009
    Publication date: October 14, 2010
    Inventors: Mark Naoshi Kawaguchi, David Mui, Mark Wilcoxson
  • Publication number: 20100261054
    Abstract: A method for treating a cathode electrode assembly. The method includes providing an electrode including iron disulfide and contacting the electrode with a solution including acid to remove impurities from the electrode. The electrode may then be dried under various conditions. The moisture content of the electrode after drying may be less than about 2500 ppm.
    Type: Application
    Filed: April 14, 2009
    Publication date: October 14, 2010
    Inventors: Michael Pozin, Nikolai Nikolaevich Issaev, Michael Dean Sliger
  • Patent number: 7811978
    Abstract: Described are anionic N-substituted fluorinated sulfonamide surfactants, and use thereof in cleaning and in acid etch solutions. The cleaning and etch solutions are used with a wide variety of substrates, for example, in the cleaning and etching of silicon oxide-containing substrates.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: October 12, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Patricia M. Savu, William M. Lamanna, Michael J. Parent
  • Publication number: 20100240204
    Abstract: A method for cleaning a diffusion barrier over a gate dielectric of a metal-gate transistor over a substrate is provided. The method includes cleaning the diffusion barrier with a first solution including at least one surfactant. The amount of the surfactant of the first solution is about a critical micelle concentration (CMC) or more. The diffusion barrier is cleaned with a second solution. The second solution has a physical force to remove particles over the diffusion barrier. The second solution is substantially free from interacting with the diffusion barrier.
    Type: Application
    Filed: March 8, 2010
    Publication date: September 23, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Matt YEH, Shun Wu Lin, Hui Ouyang
  • Patent number: 7799138
    Abstract: The method and apparatus of the embodiments of the present invention employ an in-situ particle decontamination technique that allows for such decontamination while a wafer is a vacuum tool or deposition chamber, thereby eliminating the need for another device for performing decontamination. This in-situ decontamination is effective for particle contamination resulting, for example, from tool resident mechanical component. Furthermore, particle decontamination is performed in the presence of plasma, having a potential for helping to maximize a “self bias” voltage, under RF conditions, and is integrated into the vacuum process.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: September 21, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands
    Inventors: Paul Alejon Fontejon, Jr., Yunxiao Gao, Yinshi Liu, Ning Shi
  • Patent number: 7799749
    Abstract: Non-aqueous stripping and cleaning compositions for cleaning microelectronics devices, the composition having a least one organic sulfur-containing polar compound as a stripping solvent, at least one water-free source of a strong hydroxide base, and at least one hydroxypyridine stabilizing agent to inhibit detrimental side reactions.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: September 21, 2010
    Assignee: Mallinckrodt Baker, Inc.
    Inventor: Sean M. Kane
  • Patent number: 7799139
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include utilizing a cleaning mixture comprising a solvent such as ethylene glycol monopropyl ether, an inorganic base, an organic base, a copper corrosion inhibitor and a surfactant to clean at least one of a polymer residue, a organic sacrificial fill material and etched or un-etched photo resist from a Damascene structure of a microelectronic structure comprising a porous oxide dielectric.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: September 21, 2010
    Assignee: Intel Corporation
    Inventor: Steven Keating
  • Patent number: 7790583
    Abstract: One embodiment of the present invention is a method for cleaning an electron beam treatment apparatus that includes: (a) generating an electron beam that energizes a cleaning gas in a chamber of the electron beam treatment apparatus; (b) monitoring an electron beam current; (c) adjusting a pressure of the cleaning gas to maintain the electron beam current at a substantially constant value; and (d) stopping when a predetermined condition has been reached.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Alexandros T. Demos, Khaled A. Elsheref, Josphine J. Chang, Hichem M'saad
  • Patent number: 7789965
    Abstract: A method of cleaning a UV irradiation chamber includes steps of: (i) after completion of irradiating a substrate with UV light transmitted through an optical transmitted window provided in the UV irradiation chamber, generating radical species of a cleaning gas outside the UV irradiation chamber; and (ii) introducing the radical species from the outside of the UV irradiation chamber into the UV irradiation chamber, thereby cleaning the optical transmitted window.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: September 7, 2010
    Assignee: ASM Japan K.K.
    Inventors: Kiyohiro Matsushita, Hideaki Fukuda, Kenichi Kagami
  • Patent number: 7790052
    Abstract: A substrate receiving apparatus and a method thereof that prevents substrate damage from occurring when a substrate is loaded/unloaded on/from a cassette. The present invention includes a cassette having a plurality of plates inside so as to receive a plurality of substrates; a cassette loading unit uploading/downloading the cassette; a port supporting the cassette loading unit; and a plurality of auxiliary plates inserted inside the cassette from outside. The method includes downloading a cassette so as to move substrates from plates of the cassette to auxiliary plates, unloading and loading the substrates placed on the auxiliary plates from/on the cassette, and uploading the cassette so as to move the substrates from the auxiliary plates to the plates of the cassette.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: September 7, 2010
    Assignee: LG Display Co., Ltd.
    Inventor: Kap Ryol Park
  • Patent number: 7784478
    Abstract: A system, apparatus and method for processing flat articles, such as semiconductor wafers, with acoustical energy. In a cleaning process, the inventive system, apparatus and method can remove particles from both sides of a wafer more efficiently and effectively. In one aspect, the invention is a system for processing flat articles comprising: a first dispenser for applying a liquid to a first surface of a flat article; a second dispenser for applying liquid to a second surface of a flat article; a first transducer assembly positioned so as to transmit acoustical energy to the first surface of the flat article; and a second transducer assembly positioned so as to transmit acoustical energy to the second surface of the flat article.
    Type: Grant
    Filed: January 22, 2007
    Date of Patent: August 31, 2010
    Inventors: Pejman Fani, Mark Rouillard, John Korbler, James Brown, Chad Hosack
  • Patent number: 7781314
    Abstract: Affords a manufacturing method enabling nitride-based semiconductor devices containing epitaxial films excelling in flatness and crystallinity to be easily produced. Method of manufacturing nitride semiconductor devices that are formed onto a semiconductor substrate being a compound containing nitrogen, and a Group IIIA element for forming compounds with nitrogen, including steps of: heating the semiconductor substrate (1) to a film-deposition temperature; supplying to the substrate a film-deposition gas containing a source gas for the Group IIIA element and a nitrogen source gas; and epitaxially growing onto the semiconductor substrate a thin film (2) of a compound containing nitrogen and the Group IIIA element; and being furnished with a step, in advance of the epitaxial growth step, of heating the semiconductor substrate to a pretreating temperature less than the film-deposition temperature, to clean the surface of the semiconductor substrate.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: August 24, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takashi Kyono, Masaki Ueno
  • Publication number: 20100210104
    Abstract: A process for forming a copper wiring and the prevention of copper ion migration in a semiconductor device is disclosed herein. The process includes conducting a post-cleaning process for a copper layer that is to form the cooper wiring after already having undergone a CMP process. The post-cleaning process includes conducting a primary chemical cleaning using a citric acid-based chemical. A secondary chemical cleaning is then conducted on the copper layer having undergone the primary chemical cleaning using an ascorbic acid-based chemical. After the post-cleaning process is completed, the migration of copper ions over time is prevented thereby improving the reliability of the semiconductor device.
    Type: Application
    Filed: April 22, 2009
    Publication date: August 19, 2010
    Inventors: Hyung Soon Park, Noh Jung Kwak, Seung Jin Yeom, Choon Kun Ryu, Jong Goo Jung, Sung Jun Kim
  • Patent number: 7771541
    Abstract: A method of removing and/or reducing undesirable contaminants removes residues including graphitic layers, fluorinate layers, calcium sulfate (CaSO4) particles, tin oxides and organotin, from a chip passivation layer surface. The method uses a plasma process with an argon and oxygen mixture with optimized plasma parameters to remove both the graphitic and fluorinated layers and to reduce the level of the inorganic/tin oxides/organotin residue from an integrated circuit wafer while keeping the re-deposition of metallic compounds is negligible. This invention discloses the plasma processes that organics are not re-deposited from polymers to solder ball surfaces and tin oxide thickness does not increase on solder balls. The ratio of argon/oxygen is from about 50% to about 99% Ar and about 1% to about 50% O2 by volume. Incoming wafers, after treatment, are then diced to form individual chips that are employed to produce flip chip plastic ball grid array packages.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: August 10, 2010
    Assignee: International Business Machines Corporation
    Inventors: Claude Blais, Eric Duchesne, Kang-Wook Lee, Sylvain Ouimet, Gerald J. Scilla
  • Publication number: 20100192974
    Abstract: An ultrasonic cleaning method in which ultrasonic cleaning of a contamination attached to a surface of an object to be cleaned is performed by directing toward the object to be cleaned, a cleaning liquid to which ultrasonic waves are applied by alternately focusing first ultrasonic waves having a frequency of 1 to 10 MHz and second ultrasonic waves having a frequency equal to or lower than ½ of that of the first ultrasonic waves. A focus position adjustment device is used to adjust the distance of the focus position relative to the surface of the object to be cleaned, and a moving device is used to movie at least one of the ultrasonic wave generation device and a support base for the object so that the effect of the ultrasonic waves generated by the ultrasonic wave generation device on the surface of the object to be cleaned is uniform.
    Type: Application
    Filed: April 8, 2010
    Publication date: August 5, 2010
    Applicants: HITACHI PLANT TECHNOLOGIES, LTD., THE UNIVERSITY OF TOKYO
    Inventors: Youichirou MATSUMOTO, Teiichirou IKEDA, Shin YOSHIZAWA, Terutaka SAHARA, Nobuo TSUMAKI, Yoshimitsu KITADA
  • Patent number: 7767636
    Abstract: Nanoelectronic and microelectronic cleaning corn positions for cleaning nanoelectronic and microelectronic substrates under supercritical fluid state conditions, and particularly cleaning compositions useful with and having improved compatibility with nanoelectronic and microelectronic substrates characterized by silicon dioxide, sensitive low-n or high-K dielectrics and copper, tungsten, tantalum, nickel, gold, cobalt, palladium, platinum, chromium, ruthenium, rhodium, iridium, hafnium, titanium, molybdenum, tin and other metallization, as well as substrates of A1 or Al(Cu) metallizations and advanced interconnect technologies, are provided by nanoelectronic and microelectronic cleaning compositions.
    Type: Grant
    Filed: February 11, 2005
    Date of Patent: August 3, 2010
    Assignee: Mallinckrodt Baker, Inc.
    Inventor: Chien-Pin Sherman Hsu
  • Patent number: 7763549
    Abstract: A method of manufacturing a semiconductor device of the present invention has the steps of forming a pattern made of a processed film or a resist on a substrate, washing the pattern with a washing liquid which is a liquid including at least water, spreading an amphiphilic material that has a hydrophilic group and a hydrophobic group on the surface of the washing liquid remaining on the substrate after washing the pattern, and drying the substrate to remove the washing liquid on the substrate after spreading the amphiphilic material. When moisture is removed in the drying step, molecules of the amphiphilic material are spread on the surface of the washing liquid, so that the surface tension of the washing liquid is reduced to prevent the pattern from inclining.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: July 27, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Fumitake Tagawa
  • Patent number: 7754668
    Abstract: The invention provides cleaning compositions for cleaning microelectronic substrates that are able to essentially completely clean such substrates and inhibit metal corrosion or produce essentially no corrosion of the metal elements of such substrates, and to do so at relatively short cleaning times and relatively low temperatures compared to the cleaning times required for prior art alkaline-containing cleaning compositions. The invention also provides method of using such cleaning compositions to clean microelectronic substrates without producing any significant corrosion of the metal elements of the microelectronic substrate. The cleaning compositions of this invention comprise (a) at least one organic solvent, (b) at least one unneutralized inorganic phosphorus-containing acid, and (c) water. The cleaning compositions of this invention optionally can have present in the compositions other components, such as for example surfactants, metal complexing or chelating agents, corrosion inhibitors, and the like.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: July 13, 2010
    Assignee: Mallinckrodt Baker. Inc
    Inventor: Sean M. Kane
  • Patent number: 7755064
    Abstract: A resist pattern processing apparatus comprises a stage for mounting a substrate having a patterned photoresist arranged on a surface thereof, a UV-emitting part for emitting UV rays to the stage, and an annular member for surrounding the whole periphery of the substrate. This allows the annular member to restrain ozone supplied near a mounting surface for the substrate on the stage from diffusing to the periphery of the stage, whereby the ozone concentration becomes even in the surface of the substrate mounted on the stage.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: July 13, 2010
    Assignee: TDK Corporation
    Inventors: Hitoshi Hatate, Akifumi Kamijima
  • Patent number: 7754609
    Abstract: The cleaning of silicon carbide materials on a large-scale is described. Certain silicon carbide materials in the form of wafer-lift pins, wafer-rings and/or wafer-showerheads are cleaned by using a combination of two of more of the following steps, comprising: high temperature oxidation, scrubbing, ultrasonic assisted etching in an aqueous acid solution, ultrasonication in deionized water, immersion in an aqueous acid solution, and high temperature baking. The silicon carbide materials may either be sintered or formed by chemical vapor deposition.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: July 13, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Samantha S. H. Tan
  • Publication number: 20100170531
    Abstract: Some embodiments include methods of removing particles from over surfaces of semiconductor substrates. Liquid may be flowed across the surfaces and the particles. While the liquid is flowing, electrophoresis and/or electroosmosis may be utilized to enhance transport of the particles from the surfaces and into the liquid. In some embodiments, temperature, pH and/or ionic strength within the liquid may be altered to assist in the removal of the particles from over the surfaces of the substrates.
    Type: Application
    Filed: January 8, 2009
    Publication date: July 8, 2010
    Inventors: Neil Joseph Greeley, Dan Millward, Wayne Huang
  • Patent number: 7744774
    Abstract: The present invention relates to azeotropic or azeotrope-like compositions comprising a fluorinated olefin having the formula E- or Z—C3F7CH?CHC3F7, and at least one alcohol, halocarbon, hydrofluorocarbon, fluoroether, or alkanes and combinations thereof. In one embodiment, the one compound selected from the group consisting of alcohols, halocarbons, fluoroalkyl ethers, hydrofluorocarbons, alkanes is either methanol, ethanol, iso-propanol, n-propanol, trans-1,2-dichloroethylene, cis-1,2-dichloroethylene, n-propyl bromide, C4F9OCH3, C4F9OC2H5, HFC-43-10mee, HFC-365mfc, heptane, or combinations thereof. In another embodiment, these compositions are useful in cleaning applications as a degreasing agent or defluxing agent for removing oils and/or other residues from a surface.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: June 29, 2010
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Melodie A. Schweitzer, Allen Capron Sievert, Joan Ellen Bartelt, Barbara Haviland Minor
  • Patent number: 7731799
    Abstract: A substrate processing method which removes an ArF resist film from a wafer having the ArF resist film. As an ultraviolet irradiation process is performed on the ArF resist film, and then an ozone gas and water vapor are fed to the ArF resist film, the ArF resist film is altered in a water-soluble state. Thereafter, the ArF resist film is removed from the substrate by feeding pure water to the ArF resist film altered into the water-soluble state.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: June 8, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Tadashi Iino, Yusuke Saito, Mitsunori Nakamori, Noritaka Uchida, Takehiko Orii
  • Patent number: 7731800
    Abstract: Inventive methods and systems of cleaning patterned integrated circuit (“IC”) substrates are described. The cleaning methods of the present invention include: (1) providing the patterned integrated circuit substrate having thereon poly silicon lines adjacent to each other; (2) charging a solution, which contains at least a solute selected to promote cleaning of the patterned integrated circuit substrate, to produce a charged solution, wherein at least a portion of the solute is present as clusters in the charged solution; and (3) conveying the charged solution for cleaning the patterned integrated circuit substrate.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: June 8, 2010
    Assignee: Nano OM, LLC
    Inventor: Suraj Puri
  • Patent number: 7732345
    Abstract: The present invention provides a method for manufacturing an integrated circuit. In one embodiment, the method includes etching one or more openings within a substrate using an etch tool, and subjecting the one or more openings to a post-etch clean, wherein a delay time exists between removing the substrate from the etch tool and the subjecting the one or more opening to the post-etch clean. This method may further include exposing the substrate having been subjected to the post-etch clean to a rinsing agent, wherein a resistivity of the rinsing agent is selected based upon the delay time.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: June 8, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Phillip Daniel Matz, Trace Hurd
  • Patent number: 7732225
    Abstract: A method of manufacturing a semiconductor device includes placing a sample of a liquid chemical containing a contaminant on a substantially impurity-free surface of a substrate. The liquid chemical is evaporated, leaving the contaminant on the surface. The contaminant is concentrated in a scanning solution, which is then evaporated to form a residue. A concentration of the contaminant in the residue is determined.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: June 8, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Jeffrey Allen Hanson, Lee M. Loewenstein, Monte Allan Douglas
  • Patent number: 7732346
    Abstract: A wet cleaning process is provided. The wet cleaning process includes at least one first rinse process and a second rinse step. The first rinse step includes rinsing a substrate using deionized water containing CO2, and then draining the water containing CO2 to expose the substrate in an atmosphere of CO2. The second rinse step includes rinsing the substrate using deionized water containing CO2.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: June 8, 2010
    Assignee: United Mircoelectronics Corp.
    Inventors: Chien-En Hsu, Chih-Nan Liang, Po-Sheng Lee
  • Patent number: 7731801
    Abstract: In the ozone water treatment process, the silicon wafer is treated with the first ultra-pure water that includes ozone. The first ultra-pure water is refined by the ultraviolet ray sterilization method. The first ultra-pure water includes total organic carbon content of more than 1 ?g/liter and not more than 20 ?g/liter, so that the silicon wafer of the predetermined degree of cleanliness is obtained. The silicon wafer is treated by using the second ultra-pure water that has a lower TOC value than the first ultra-pure water in the ultra-pure water rinsing process (including the chemical solution cleaning process as required). The second ultra-pure water is refined by the ultraviolet ray oxidization method, and includes total organic carbon content with a concentration of 1 ?g/liter or less. Thus the silicon wafer of the predetermined degree of cleanliness is obtained.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: June 8, 2010
    Assignee: Sumco Corporation
    Inventors: Makoto Takemura, Yasuo Fukuda, Kazuaki Souda, Junichiro Iwahashi, Koichi Okuda
  • Patent number: 7723280
    Abstract: Compositions and methods useful for the removal of polymeric material from substrates, such as electronic devices are provided. These compositions and methods are particularly suitable for removing polymer residues from electronic devices following plasma etch processes.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: May 25, 2010
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert L. Brainard, Robert L. Auger, Joseph F. Lachowski
  • Patent number: 7718011
    Abstract: A method and apparatus for cleaning, rinsing and Marangoni drying substrates is provided. The invention includes spraying a line of fluid to a substrate, thereby creating an air/fluid interface line on the substrate; supplying a line of drying vapors to the air/fluid interface line, thereby creating a Marangoni drying effect along the air/fluid interface line; and moving the substrate relative to the air/fluid line. Numerous other aspects are provided.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Boris Fishkin, Michael Sherrard
  • Patent number: 7718590
    Abstract: A variety of compositions that are particularly applicable for removing one or more of resist, etching residue, planarization residue, and copper oxide from a substrate comprising copper and a low-k dielectric material are described. The resist, residues, and copper oxide are removed by contacting the substrate surface with the composition, typically for a period of 30 seconds to 30 minutes, and at a temperature between 25° and 45° C. The composition includes a fluoride-providing component; at least 1% by weight of a water miscible organic solvent; an organic acid; and at least 81% by weight water. Typically the composition further includes up to about 0.4% of one or more chelators.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: May 18, 2010
    Assignee: EKC Technology, Inc.
    Inventors: Tomoko Suzuki, Toshitaka Hiraga, Yasuo Katsuya, Chris Reid
  • Publication number: 20100108093
    Abstract: A method for cleaning a substrate is provided that includes applying a liquid medium to a surface of the substrate such that the liquid medium substantially covers a portion of the substrate that is being cleaned. One or more transducers are used to generate acoustic energy. The generated acoustic energy is applied to the substrate and the liquid medium meniscus such that the applied acoustic energy to the liquid medium prevents cavitation within the liquid medium. The acoustic energy applied to the substrate provides maximum acoustic wave displacement to acoustic waves introduced into the liquid medium. The acoustic energy introduced into the substrate and the liquid medium enables dislodging of the particle contaminant from the surface of the substrate. The dislodged particle contaminants become entrapped within the liquid medium and are carried away from the surface of the substrate by the liquid medium.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 6, 2010
    Applicant: Lam Research Corp.
    Inventors: Grant Peng, David Mui, Shih-Chung Kon
  • Patent number: 7704939
    Abstract: To provide a surfactant which is obtainable by using substantially no alkali metal, has excellent readhesion prevention ability of finely-pulverized particles at the time of cleaning, and is capable of quite efficient and advanced cleaning. In the present invention, a surfactant which comprises a neutralized salt (AB1) and/or neutralized salt (AB2) is used. Neutralized salt (AB1): a neutralized salt (AB1) which comprises an acidic compound (A1) containing at least each one of an acid group (X1) of an acid having the difference of heat of formation in an acid dissociation reaction (Q1) of 3 to 200 kcal/mol and a hydrophobic group (Y) containing 1 to 36 carbon atoms, and a nitrogen-containing basic compound (B) having the difference of heat of formation in a proton addition reaction of 10 to 152 kcal/mol, wherein (X1) is at least one species selected from a sulfonic acid group, and the like.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: April 27, 2010
    Assignee: Sanyo Chemical Industries, Ltd.
    Inventors: Kazumitsu Suzuki, Shunichiro Yamaguchi
  • Patent number: 7700532
    Abstract: The present invention relates to a liquid cleaner for a semiconductor substrate on which metal wiring may be provided, comprising each component of a chelating agent or a salt thereof shown by the following general formula (1), an alkaline compound and pure water, wherein pH is 8 to 13: (wherein, Y1 and Y2 are lower alkylene groups, n is an integer of 0 to 4, at least 4 of R1 to R4 and n R5s are alkyl groups having phosphonic acid group(s) and the rest are alkyl groups) and a cleaning method using the same. The present invention provides a liquid cleaner which can efficiently remove fine particles or impurities derived from various metals at semiconductor substrate surface, even when used after a process adopting an alkaline polishing agent or alkaline etching liquid, without generating problems of causing difficult-to-remove gelled particles at the substrate surface or easy generation of rough semiconductor substrate surface, and a cleaning method using the same.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: April 20, 2010
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Ichiro Hayashida, Hironori Mizuta, Takehisa Kato
  • Patent number: 7700004
    Abstract: This invention relates to cleaning compositions comprising unsaturated fluorinated hydrocarbons. The invention further relates to use of said cleaning compositions in methods to clean, degrease, deflux, dewater, and deposit fluorolubricant. The invention further relates to novel unsaturated fluorinated hydrocarbons and their use as cleaning compositions and in the methods listed above.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: April 20, 2010
    Assignee: E.I. du Pont de Nemours and Company
    Inventors: Mario Joseph Nappa, Melodie A. Schweitzer, Allen Capron Sievert, Ekaterina N. Swearingen
  • Patent number: 7696141
    Abstract: A cleaning compound is provided. The cleaning compound includes about 0.1 weight percent to about 10 weight percent of a fatty acid dispersed in water. The cleaning compound includes an amount of a base sufficient to bring a pH of the fatty acid water solution to about a level where above about 50% of the dispersed fatty acid is ionized. A method for cleaning a substrate, a system for cleaning a substrate, and a cleaning solution prepared by a process are also provided.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: April 13, 2010
    Assignee: Lam Research Corporation
    Inventors: Erik M. Freer, John M. de Larios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Patent number: 7693597
    Abstract: A substrate processing method for removing a resist film from a substrate having the resist film formed thereon comprises maintaining the inner region of the chamber at a prescribed temperature by putting a substrate in a chamber, denaturing the resist film by supplying ozone and a water vapor in such a manner that ozone is supplied into the chamber while a water vapor is supplied into the chamber at a prescribed flow rate, the amount of ozone relative to the amount of the water vapor being adjusted such that the dew formation within the chamber is prevented, and processing the substrate with a prescribed liquid material so as to remove the denatured resist film from the substrate.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsunori Nakamori, Tadashi Iino, Noritaka Uchida, Takehiko Orii
  • Patent number: 7691207
    Abstract: A method for cleaning a disk-shape glass substrate, which comprises rotating the disk-shape glass substrate on its center with its main surface vertical, and making a cleaning fluid irradiated with ultrasonic waves run down on the outer peripheral edge surface of the rotating glass substrate.
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: April 6, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Osamu Miyahara, Kazuo Mannami, Kuniyuki Someya, Tsutomu Maruyama
  • Patent number: 7691206
    Abstract: The invention is directed to a wafer cleaning process for being applied on a wafer after an etching process is performed on the wafer, wherein the wafer has a wafer center, a wafer radius and a wafer circumference. The wafer cleaning process comprises a step of dispensing a cleaning solution over the wafer by using a dispenser while the dispenser is moving back and forth along a swing path over the wafer around the wafer center, wherein the wafer center is projected to a midpoint of the swing path.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: April 6, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Bor-Ren Chen
  • Patent number: 7687447
    Abstract: The present invention relates to semi-aqueous compositions and the method using same, to remove highly cross-linked resists and etch-residues. The compositions are comprised of aminobenzenesulfonic acid, water miscible organic solvent and water.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: March 30, 2010
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Michael Walter Legenza, Dana L. Durham
  • Patent number: 7687446
    Abstract: A method of removing the residue left after a plasma process is described. First, a substrate having at least a material layer thereon is provided. The material layer includes a metal. Then, a fluorine-containing plasma process is performed so that a residue containing the aforesaid metallic material is formed on the surface of the material layer. After that, a wet cleaning operation is performed using a cleaning agent to remove the residue. The cleaning agent is a solution containing water, a diluted hydrofluoric acid and an acid solution.
    Type: Grant
    Filed: February 6, 2006
    Date of Patent: March 30, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Ming Weng, Miao-Chun Lin, Mei-Chi Wang, Jiunn-Hsiung Liao, Wei-Cheng Yang
  • Patent number: 7683022
    Abstract: Various methods for selectively etching metal-containing materials (such as, for example, metal nitrides, which can include, for example, titanium nitride) relative to one or more of silicon, silicon dioxide, silicon nitride, and doped silicon oxides in high aspect ratio structures with high etch rates. The etching can utilize hydrogen peroxide in combination with ozone, ammonium hydroxide, tetra-methyl ammonium hydroxide, hydrochloric acid and/or a persulfate. The invention can also utilize ozone in combination with hydrogen peroxide, and/or in combination with one or more of ammonium hydroxide, tetra-methyl ammonium hydroxide and a persulfate. The invention can also utilize ozone, hydrogen peroxide and HCl, with or without persulfate. The invention can also utilize hydrogen peroxide and a phosphate, either alone, or in combination with a persulfate.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: March 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Kevin R. Shea, Niraj B. Rana
  • Patent number: 7683021
    Abstract: Various methods for selectively etching metal-containing materials (such as, for example, metal nitrides, which can include, for example, titanium nitride) relative to one or more of silicon, silicon dioxide, silicon nitride, and doped silicon oxides in high aspect ratio structures with high etch rates. The etching can utilize hydrogen peroxide in combination with ozone, ammonium hydroxide, tetra-methyl ammonium hydroxide, hydrochloric acid and/or a persulfate. The invention can also utilize ozone in combination with hydrogen peroxide, and/or in combination with one or more of ammonium hydroxide, tetra-methyl ammonium hydroxide and a persulfate. The invention can also utilize ozone, hydrogen peroxide and HCl, with or without persulfate. The invention can also utilize hydrogen peroxide and a phosphate, either alone, or in combination with a persulfate.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: March 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Kevin R. Shea, Niraj B. Rana