Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 7977292
    Abstract: A cleaning composition of a semiconductor device for laminating an organosiloxane-based thin film and a photoresist layer in this order on a substrate having a low dielectric interlayer insulation film and a copper wiring or a copper alloy wiring, then applying selective exposure and development treatments to the subject photoresist layer to form a photoresist pattern, subsequently applying a dry etching treatment to the organosiloxane-based thin film and the low dielectric interlayer insulation film while using this resist pattern as a mask and then removing the organosiloxane-based thin film, a residue generated by the dry etching treatment, a modified photoresist having been modified by the dry etching treatment and an unmodified photoresist layer located in a lower layer than the modified photoresist, the cleaning composition containing from 15 to 20% by mass of hydrogen peroxide, from 0.0001 to 0.003% by mass of an amino polymethylene phosphonic acid, from 0.02 to 0.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: July 12, 2011
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Hiroshi Matsunaga, Masaru Ohto, Hideo Kashiwagi, Hiroshi Yoshida
  • Publication number: 20110155169
    Abstract: A cleaning fluid including dispersed gas avoids using ultrasonic energy to induce cavitation by subjecting a liquid containing dissolved gas to a pressure reduction in a bubble machine, to generate a gas/liquid dispersion. The cleaning fluid can be used to clean articles such as semiconductor wafers using a device that includes a holder and a vibrator for supplying ultrasonic or megasonic energy to the article.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Applicant: LAM RESEARCH AG
    Inventors: Frank Ludwig HOLSTEYNS, Alexander LIPPERT, Thomas WIRNSBERGER
  • Patent number: 7967913
    Abstract: A remote plasma process for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber. In one embodiment, the substrate is transferred out of the substrate processing chamber and a flow of a fluorine-containing etchant gas is introduced into a remote plasma source where reactive species are formed. A continuous flow of the reactive species from the remote plasmas source to the substrate processing chamber is generated while a cycle of high and low pressure clean steps is repeated. During the high pressure clean step, reactive species are flown into the substrate processing chamber while pressure within the substrate processing chamber is maintained between 4-15 Torr.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Sanjay Kamath, Young S. Lee, Ellie Y. Yieh, Hien-Minh Huu Le, Anjana M. Patel, Sudhir R. Gondhalekar
  • Patent number: 7964039
    Abstract: An improved reaction chamber cleaning process is provided for removing water residues that makes use of noble-gas plasma reactions. The method is easy applicable and may be combined with standard cleaning procedure. A noble-gas plasma (e.g. He) that emits high energy EUV photons (E>20 eV) which is able to destruct water molecules to form electronically excited oxygen atoms is used to remove the adsorbed water.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: June 21, 2011
    Assignees: IMEC, Katholieke Universiteit Leuven K.U. Leuven R&D
    Inventors: Adam Michal Urbanowicz, Mikhaïl Baklanov, Denis Shamiryan, Stefan De Gendt
  • Patent number: 7960328
    Abstract: A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: June 14, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Pamela M. Visintin, Ping Jiang, Michael B. Korzenski, Mackenzie King
  • Patent number: 7959738
    Abstract: A method of removing a photoresist may include permeating supercritical carbon dioxide into the photoresist on a substrate having a conductive structure including a metal. The photoresist permeating the supercritical carbon dioxide may be easily removable. The photoresist permeating the supercritical carbon dioxide may be removed using a photoresist cleaning solution from the substrate. The photoresist cleaning solution may include an alkanolamine solution of about 8 percent by weight to about 20 percent by weight, a polar organic solution of about 25 percent by weight to about 40 percent by weight, a reducing agent of about 0.5 percent by weight to about 3 percent by weight with the remainder being water. The photoresist may be easily removed without damaging the conductive structure in a plasma process.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: June 14, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Hyuk Kang, Hyo-San Lee, Dong-Gyun Han, Chang-Ki Hong, Kun-Tack Lee
  • Patent number: 7959828
    Abstract: This invention relates to cleaning compositions comprising unsaturated fluorinated hydrocarbons. The invention further relates to use of said cleaning compositions in methods to clean, degrease, deflux, dewater, and deposit fluorolubricant. The invention further relates to novel unsaturated fluorinated hydrocarbons and their use as cleaning compositions and in the methods listed above.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: June 14, 2011
    Assignee: E. I. Du Pont de Nemours and Company
    Inventors: Mario Joseph Nappa, Melodie A. Schweitzer, Allen Capron Sievert, Ekaterina N Swearingen
  • Patent number: 7955991
    Abstract: Disclosed is a producing method of a semiconductor device, comprising: loading a substrate into a reaction furnace; forming a film on the substrate in the reaction furnace; unloading the substrate from the reaction furnace after the film has been formed; and forcibly cooling an interior of the reaction furnace in a state where the substrate does not exist in the reaction furnace after the substrate has been unloaded.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: June 7, 2011
    Assignee: Hitachi Kokussai Electric Inc.
    Inventors: Kenichi Suzaki, Jie Wang
  • Patent number: 7951764
    Abstract: Back end photoresist strippers and cleaning compositions of this invention are provided by amino acid-free, non-aqueous cleaning compositions that are essentially non-corrosive toward copper as well as aluminum and that comprise at least one polar organic solvent, at least one hydroxylated organic amine, and at least one corrosion inhibitor compound with multiple hydroxyl functional groups that is a compound of the formula: T1-[(CR1R2)m—(CR3R4)n]p—(CR5R6)q-T2 where at least one of R1 and R2 OH and if one of R1 and R2 is not OH, it is selected from H, alkyl or alkoxy, m is a whole integer of 1 or greater, R3 and R4 are selected from H, alkyl or alkoxy, n is 0 or a greater whole positive integer, p is a whole integer of 1 or greater; at least one of R5 and R6 is OH and if one of R5 and R6 is not OH, it is selected from H, alkyl or alkoxy, q is a whole integer of 1 or greater; T1 and T2 are selected from H, alkyl, hydroxyalkyl, polyhydroxyalkyl, aminoalkyl, carbonylalkyl or amide groups or T1 and T2 may be co
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: May 31, 2011
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Seiji Inaoka
  • Patent number: 7947637
    Abstract: The present disclosure provides a non-corrosive cleaning composition that is useful for removing residues from a semiconductor substrate. The composition can comprise water, at least one hydrazinocarboxylic acid ester, at least one water soluble carboxylic acid, optionally, at least one fluoride-containing compound, and, optionally, at least one corrosion inhibitor not containing a carboxyl group. The present disclosure also provides a method of cleaning residues from a semiconductor substrate using the non-corrosive cleaning composition.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: May 24, 2011
    Assignee: Fujifilm Electronic Materials, U.S.A., Inc.
    Inventor: Emil Kneer
  • Patent number: 7943562
    Abstract: In a cleaning composition, a method of cleaning a semiconductor substrate and a method of manufacturing a semiconductor device, the cleaning composition includes about 0.5 to about 5% by weight of an organic ammonium hydroxide compound, about 0.1 to about 3% by weight of a fluoride compound, about 0.1 to about 3% by weight of a buffering agent, about 0.5 to about 5% by weight of an etching accelerant, and a remainder of water.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: May 17, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Da-Hee Lee, Jung-Dae Park, Hun-Jung Yi, Tae-Hyo Choi
  • Patent number: 7939482
    Abstract: A cleaning solution for a semiconductor wafer comprises ammonia, hydrogen peroxide, a complexing agent and a block copolymer surfactant diluted in water. The cleaning solution can be used in single wafer cleaning tools to remove both particulate contaminants and metallic residues.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: May 10, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Janos Farkas
  • Patent number: 7938976
    Abstract: A method for removing undesirable contaminants from a chip passivation layer surface without creating SiO2 particles on the passivation layer, wherein the undesirable contaminants include graphitic layers and fluorinated layers. The use of N2 plasma with optimized plasma parameters can remove through etching both the graphitic and fluorinated organic layers. The best condition for the N2 plasma treatment is to use a relatively low-power within the range of 100-200 W and a relatively high vacuum pressure of N2 in the range of 500-750 mTorr.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: May 10, 2011
    Assignee: International Business Machines Corporation
    Inventor: Kang-Wook Lee
  • Patent number: 7932221
    Abstract: A solvent for cleaning that offers particularly superior results for cleaning pigment-dispersed photosensitive resin compositions used for forming color filters and black matrix patterns. The solvent for cleaning has a hydrogen bonding parameter (?H) among the Hansen solubility parameters that falls within a range from 5 to 10.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: April 26, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Akira Katano, Tetsuya Kato, Koji Harada
  • Publication number: 20110088719
    Abstract: Disclosed are systems and methods for cleaning semiconductor substrates, wherein a nucleation structure having nucleation sites is mounted facing a surface of the substrate to be cleaned. The substrate and structure are brought into contact with a cleaning liquid, which is subsequently subjected to acoustic waves of a given frequency. The nucleation template features easier nucleation formation than the surface that needs to be cleaned by, for example, causing the template to have a higher contact angle when in contact with the liquid than the substrate surface to be clean. Therefore, bubbles nucleate on the structure and not on the surface to be cleaned.
    Type: Application
    Filed: October 20, 2010
    Publication date: April 21, 2011
    Applicant: IMEC
    Inventors: Paul Mertens, Steven Brems
  • Patent number: 7928046
    Abstract: Aqueous, silicate free, cleaning compositions of about pH 9 or below and method of using the cleaning compositions for cleaning microelectronic substrates, which compositions are able to essentially completely clean such substrates and produce essentially no metal corrosion of the metal elements of such substrates. The aqueous cleaning compositions of this invention have (a) water, (b) at least one of ammonium and quaternary ammonium ions and (c) at least one of hypophosphite (H2PO2?) and/or phosphite (HPO32?) ions. The cleaning compositions also may contain fluoride ions. Optionally, the composition may contain other components such as organic solvents, oxidizing agent, surfactants, corrosion inhibitors and metal complexing agents.
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: April 19, 2011
    Assignee: Avantor Performance Materials, Inc.
    Inventors: Joseph M. Ilardi, David C. Skee, Sean M. Kane, Karen E. Trovalli
  • Patent number: 7923424
    Abstract: A method of cleaning a substrate includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The semiconductor substrate may be a wafer.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 12, 2011
    Assignee: Advanced Process Technologies, LLC
    Inventor: Robert J. Small
  • Patent number: 7922823
    Abstract: Compositions useful in microelectronic device manufacturing for surface preparation and/or cleaning of wafer substrates such as microelectronic device precursor structures. The compositions can be employed for processing of wafers that have, or are intended to be further processed to include, copper metallization, e.g., in operations such as surface preparation, pre-plating cleaning, post-etching cleaning, and post-chemical mechanical polishing cleaning of microelectronic device wafers. The compositions contain (i) alkanolamine, (ii) quaternary ammonium hydroxide and (iii) a complexing agent, and are storage-stable, as well as non-darkening and degradation-resistant in exposure to oxygen.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: April 12, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Elizabeth Walker, Shahri Naghshineh, Jeffrey A. Barnes, Ewa Oldak, Darryl W. Peters, Kevin P. Yanders
  • Patent number: 7923423
    Abstract: Compositions useful in semiconductor manufacturing for surface preparation and/or cleaning of wafer substrates such as semiconductor device precursor structures. The compositions can be employed for processing of wafers that have, or are intended to be further processed to include, copper metallization, e.g., in operations such as surface preparation, pre-plating cleaning, post-etching cleaning, and post-chemical mechanical polishing cleaning of semiconductor wafers. The compositions contain (i) alkanolamine, (ii) quaternary ammonium hydroxide and (iii) a complexing agent, and are storage-stable, as well as non-darkening and degradation-resistant in exposure to oxygen.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: April 12, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Elizabeth Walker, Shahri Naghshineh, Jeff Barnes, Ewa Oldak
  • Patent number: 7922824
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: April 12, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Patent number: 7918941
    Abstract: The present invention relates to a cleaning agent composition for use in cleaning a substrate for recording media, a substrate for photomask, or a substrate for flat panel display, a surface of which at least contains a metallic or glassy substrate moiety, the cleaning agent composition containing (I) a copolymer compound satisfying at least the following (i) to (iii): (i) a constituting unit A1 derived from acrylic acid is contained in an amount of 20% by mol or more of the entire constituting units; (ii) the constituting unit A1 derived from acrylic acid and a constituting A2 derived from 2-acrylamide-2-methylpropanesulfonic acid are contained in a total amount of 90% by mol or more of the entire constituting units; and (iii) the constituting unit A1 and the constituting unit A2 of the entire constituting units are in a content ratio [constituting unit A1 (% by mol)/constituting unit A2 (% by mol)] of from 91/9 to 95/5.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 5, 2011
    Assignee: Kao Corporation
    Inventors: Atsushi Tamura, Yasunori Horio
  • Patent number: 7919446
    Abstract: Compositions comprise a purine compound; an alcohol amine; a quaternary ammonium salt; an amino acid, and optionally an antioxidant. The compositions are useful in post-CMP cleaning processes. One particular advantage of these compositions is that they can effectively remove slurry contamination without increasing the roughness of the copper surface.
    Type: Grant
    Filed: December 26, 2008
    Date of Patent: April 5, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Zachary M. Fresco, Anh Duong, Chi-I Lang, Nikhil Kalyankar, Nicole Rutherford, Alexander Gorer
  • Patent number: 7913702
    Abstract: In a substrate cleaning method for cleaning a backside of a substrate on a surface of which a predetermined processing is performed, a two phase substance contacts the backside of the substrate, and a flow of the substance is generated near the backside of the substrate under a specified pressure. The two phase substance is a gas containing aerosol or a supercritical substance, and the specified pressure is higher than or equal to 133 Pa (1 Torr). Further, in the substrate cleaning method, a high-energy light may be irradiated on the backside of the substrate.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: March 29, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Tsuyoshi Moriya
  • Patent number: 7914624
    Abstract: Inventive methods, systems and compositions of cleaning integrated circuit (“IC”) substrates are described. The cleaning methods of the present invention include: charging a solution, which contains at least a solute selected to promote cleaning of the IC substrate, to produce a charged solution, such that at least a portion of the solute is present as clusters in the charged solution; and conveying the charged solution for cleaning the IC substrate. The cleaning systems of the present invention include: a charging chamber for holding a solution, which contains at least a solute selected to promote cleaning of the integrated circuit substrate; and a first acoustic energy source capable of vibrating the solution in the charging chamber to produce a charged solution such that at least a portion of the solute is present as clusters in the charged solution.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: March 29, 2011
    Assignee: Nano OM, LLC
    Inventor: Suraj Puri
  • Patent number: 7914623
    Abstract: A combination of a dry oxidizing, wet etching, and wet cleaning processes are used to remove particle defects from a wafer after ion implantation, as part of a wafer bonding process to fabricate a SOI wafer. The particle defects on the topside and the backside of the wafer are oxidized, in a dry strip chamber, with an energized gas. In a wet clean chamber, the backside of the wafer is treated with an etchant solution to remove completely or partially a thermal silicon oxide layer, followed by exposure of the topside and the backside to a cleaning solution. The cleaning solution contains ammonium hydroxide, hydrogen peroxide, DI water, and optionally a chelating agent, and a surfactant. The wet clean chamber is integrated with the dry strip chamber and contained in a single wafer processing system.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: March 29, 2011
    Assignee: Applied Materials, Inc.
    Inventors: James S. Papanu, Han-Wen Chen, Brian J. Brown, Steven Verhaverbeke
  • Patent number: 7909934
    Abstract: A system and method of cleaning a substrate includes a megasonic chamber that includes a transducer and a substrate. The transducer is being oriented toward the substrate. A variable distance d separates the transducer and the substrate. The system also includes a dynamically adjustable RF generator that has an output coupled to the transducer.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: March 22, 2011
    Assignee: Lam Research Corporation
    Inventors: John Boyd, Andras Kuthi, Michael G. R. Smith, Thomas W. Anderson, William Thie
  • Patent number: 7906365
    Abstract: A method of manufacturing a solar cell panel, includes steps (a) to (e). The step (a) is a step of forming a solar cell module by laminating solar cell films on a transparency substrate. The step (b) is a step of performing an inspection of electric power generation on the solar cell module. The step (c) is a step of forming a solar cell panel by executing a panel formation on the solar cell module. The step (d) is a step of cleaning a light incidence surface of the solar cell panel. The step (e) is a step of performing an inspection of electric power generation on the solar cell panel. The step (d) is executed immediately before the step (e).
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: March 15, 2011
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Hiroshi Sonobe, Akemi Takano, Yoshikazu Nawata, Kazumasa Uchihashi, Kazuhiko Ogawa, Tsukasa Yamane, Nobuki Yamashita
  • Patent number: 7901540
    Abstract: The present invention generally relates to a method and apparatus to produce and apply a variety of surface cleaning and modification spray treatments. More specifically, the present invention provides the simultaneous steps of selectively removing one or more unwanted surface contaminants, including extremely hard coatings, exposing a native clean surface layer and modifying said exposed and cleaned native substrate surface layer to energetic radicals and radiation to improve adhesion, wettability or coatability. Reactive species in combination with non-reactive, but chemically or physically active, species provide a reaction control and surface treatment environment by which contaminants and surface interlayers are oxidatively, physically and/or chemically removed or modified to prepare an underlying substrate surface for subsequent bonding, deposition, coating and curing operations. Substrates treated in accordance with the present invention have cleaner and higher surface free energy surfaces.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: March 8, 2011
    Inventor: David P. Jackson
  • Patent number: 7896970
    Abstract: A semiconductor substrate cleaning liquid composition is provided that includes one or more types selected from the group consisting of a compound having at least two sulfonic acid groups per molecule, phytic acid, and a condensed phosphoric acid compound; an inorganic acid; and water. There is also provided a process for cleaning a semiconductor substrate that includes a first step of cleaning the semiconductor substrate using the semiconductor substrate cleaning liquid composition and, subsequent to the first step, a second step of cleaning the semiconductor substrate with pure water, ozone water formed by dissolving ozone gas in pure water, or aqueous hydrogen peroxide.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: March 1, 2011
    Assignees: Kabushiki Kaisha Toshiba, Kanto Kagaku Labushiki Kaisha
    Inventors: Hiroshi Tomita, Yuji Yamada, Hiroaki Yamada, Norio Ishikawa, Yumiko Abe
  • Publication number: 20110041874
    Abstract: A polymer removing apparatus for use in removing polymer annularly adhered to a peripheral portion of a target substrate includes a processing chamber for accommodating the target substrate having the polymer annularly adhered to the peripheral portion thereof; a mounting table for mounting the target substrate thereon; and a laser irradiation unit for irradiating ring-shaped laser light at once to the whole polymer annularly adhered to the target substrate. The polymer removing apparatus further includes an ozone gas supply unit for supplying an ozone gas to the polymer annularly adhered to the target substrate and a gas exhaust unit for exhausting the ozone gas.
    Type: Application
    Filed: August 17, 2010
    Publication date: February 24, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takehiro Shindou, Masaki Kondo
  • Patent number: 7887636
    Abstract: A substrate dryer includes, among other things, means for generating isopropyl alcohol bubbles, and a vibrator to atomize stored isopropyl alcohol. A heater may be provided to heat pumped isopropyl alcohol, as wells as a spray nozzle to spray the heated IPA to the vibrator. It is possible to increase the concentration of the isopropyl alcohol supplied for the purpose of drying the substrate. Improved substrate drying is achieved.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: February 15, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Hyeon Nam, Seung-Kun Lee
  • Patent number: 7888662
    Abstract: In a cleaning process for an ion source chamber, an electrode positioned outside of the ion source chamber includes a suppression plug. When the cleaning gas is introduced intothe source chamber, the suppression plug may engage an extraction aperture of the source chamber to adjust the gas pressure within the chamber to enhance chamber cleaning via. plasma-enhanced chemical reaction. The gas conductance between the source chamber aperture and the suppression plug can be adjusted during the cleaning process to provide optimum cleaning conditions and to exhaust unwanted deposits.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: February 15, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Costel Biloiu, Craig R. Chaney, Eric R. Cobb, Bon-Woong Koo, Wilhelm P. Platow
  • Patent number: 7884027
    Abstract: A method of manufacturing a semiconductor device includes subjecting a semiconductor substrate having an aluminum film formed thereabove to a processing to at least partially expose a surface of the aluminum film, and carrying out a surface processing to remove an after-processing residue that remains on the exposed surface of the aluminum film. The surface processing includes treating the exposed surface of the aluminum film with a first liquid chemical containing an anion component and then with an alkaline, second liquid chemical.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: February 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshihiro Uozumi, Takashi Hirayama, Akira Kugita
  • Patent number: 7884062
    Abstract: Disclosed is a cleaning liquid for lithography which is characterized by containing a mixed organic solvent which is obtained by mixing (A) at least one solvent selected from ketone organic solvents and glycol ether organic solvents, (B) at least one solvent selected from lactone organic solvents and (C) at least one solvent selected from alkoxy benzenes and aromatic alcohols. This cleaning liquid is highly safe and does not have adverse effects on the environment or the human body, while having basic characteristics necessary for a cleaning liquid for lithography. In addition, this cleaning liquid can be stably supplied at low cost.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: February 8, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Hideya Kobari
  • Patent number: 7879533
    Abstract: An etching residue removal method includes a cleaning sequence. Preferably, the cleaning sequence has a first washing processing, first drying processing, stripper processing, rinsing processing, second washing processing and second drying processing. In the first washing processing, an insulation film and metal lines thereon are washed by pure water. In the first drying processing, the insulation film and metal lines are dried in a nitrogen atmosphere at room temperature, for example. In the stripper processing, the etching residue on the insulation film and metal lines are stripped by amine stripper, for example. In the rinsing processing, the insulation film and metal lines are rinsed with an IPA rinse solution, for example. In the second washing processing, the insulation film and metal lines are washed with pure water. In the second drying processing, the insulation film and metal lines are dried in the nitrogen atmosphere at room temperature, for example.
    Type: Grant
    Filed: May 8, 2006
    Date of Patent: February 1, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Takeshi Itou
  • Patent number: 7879783
    Abstract: The present invention relates to a semi-aqueous cleaning composition used to remove unwanted organic and inorganic residues and contaminants from semiconductor substrates. The cleaning composition comprises a buffering system comprising a polyprotic acid having at least three carboxylic acid groups with a pKa value of about 5 to about 7. The composition also comprises a polyhydric solvent, such as glycerol. A fluoride ion source is also included in the cleaning compositions of the present invention and is principally responsible for removing inorganic residues from the substrate. The cleaning compositions of the present invention have a low toxicity and are environmentally acceptable.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: February 1, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Aiping Wu
  • Patent number: 7879735
    Abstract: A cleaning solution and methods of fabricating semiconductor devices using the same are provided. A cleaning solution used for cleaning a silicon surface and methods of fabricating a semiconductor device using the same are also provided. The cleaning solution may include 0.01 to 1 wt % of fluoric acid, 20 to 50 wt % of oxidizer and 50 to 80 wt % of water. The cleaning solution may further include 1 to 20 wt % of acetic acid. The cleaning solution may be used to clean a silicon surface exposed during fabrication processes of a semiconductor device. The cleaning solution may reduce damage of other material layers (e.g., a tungsten layer or a silicon oxide layer) and enable the silicon surface to be selectively etched.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: February 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Chang-Ki Hong, Woo-Gwan Shim
  • Patent number: 7879784
    Abstract: A stripping agent composition for a resist, containing (A) 0.1 to 10% by weight of an amine; (B) 80 to 99% by weight of an organic solvent having a Hansen's solubility parameter of from 18 to 33 MPa1/2; (C) 0.01 to 3% by weight of a sugar; and (D) 0 to 5% by weight of water; a method for stripping a resist, including the step of stripping the resist with the stripping agent composition; and a method for manufacturing a semiconductor device, including the step of stripping a resist with the stripping agent composition. By using the stripping composition of the present invention, for example, a high-quality IC or LSI semiconductor device circuit, especially a compound semiconductor device circuit can be more economically advantageously manufactured.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: February 1, 2011
    Assignee: KAO Corporation
    Inventor: Mami Shirota
  • Patent number: 7879251
    Abstract: A thin film removing device and a thin film removing method are capable of removing straight parts of a thin film formed on a square substrate from corners of the substrate, and of suppressing the formation of mists. An approach stage 20 having flat stage plates 23 capable of being disposed substantially flush with the surface of a substrate M mounted on a support table 22 is positioned close to the substrate M mounted on the support table 22. Removing nozzles 30 jet a solvent toward edge parts of the substrate M and suck a solution produced by dissolving part of the resist in the solvent while the removing nozzles 30 are moved along side edges of the substrate M and the approach stage 20 disposed close to the substrate M. Thus, the removing nozzles 30 jet the solvent uniformly over the edge parts and corners of the substrate M and suck the solution without changing modes of jetting the solvent and sucking the solution.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: February 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shinji Kobayashi, Norihisa Koga
  • Publication number: 20110000504
    Abstract: Methods and apparatuses for cleaning a surface of a substrate are presented. The method comprises positioning a substrate at a controllable distance from a piezoelectric transducer, supplying a cleaning liquid between the substrate and the transducer, applying an oscillating acoustic force to the cleaning liquid by actuating the transducer, and moving the transducer relative to the substrate. The method further comprises, while moving the transducer relative to the substrate, measuring a value that indicates a distance between a surface of the substrate and the transducer, comparing the measured value to a desired value, and adjusting the distance between the surface and the transducer so that the measured value is maintained substantially equal to the desired value. The measured value may be the distance between the surface of the substrate and the transducer or a phase shift between an alternating current and voltage applied to the transducer.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 6, 2011
    Applicant: IMEC
    Inventors: Steven Brems, Paul Mertens
  • Patent number: 7857530
    Abstract: In a developing method for performing developing treatment of a substrate by supplying a developing solution onto a resist film formed on a surface of the substrate, the present invention controls a zeta potential of the surface of the substrate at a predetermined potential in the same polarity as that of a zeta potential of insoluble substances floating in the developing solution, thereby preventing or reducing the adhesion of the insoluble substances to the resist film and the substrate. This remedies the occurrence of development defects. The adhesion of the insoluble substances to the resist film and the substrate can also be prevented or inhibited by supplying an acid liquid to a liquid on the substrate, or controlling a pH value of the liquid on the substrate to control an absolute value of the zeta potential of the insoluble substances.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 28, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yuko Ono, Junichi Kitano
  • Patent number: 7851427
    Abstract: Resist stripping agents, useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with reduced metal etch rates, particularly copper etch rates, are provided with methods for their use. The preferred stripping agents contain low concentrations of a copper salt with or without an added amine to improve solubility of the salt. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: December 14, 2010
    Assignee: Dynaloy, LLC
    Inventors: Kimberly Dona Pollard, Michael T. Phenis
  • Patent number: 7851426
    Abstract: A cleaning liquid used in cleaning of a substrate for use in semiconductor devices conducted after chemical mechanical polishing in manufacture of semiconductor devices, comprising a polycarboxylic acid, an anionic surfactant having an aromatic ring structure in a molecule, a polymer compound having an acidic group on a side chain, and a low molecular weight polyethylene glycol, at the cleaning liquid having a pH of 5 or less, as well as a method of cleaning using the same.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: December 14, 2010
    Assignee: FUJIFILM CORPORATION
    Inventor: Yoshinori Nishiwaki
  • Patent number: 7851374
    Abstract: By exposing a process control wafer having a porous low-k-dielectric layer thereon in an HF-based low-k dielectric etching solvent comprising a dilating additive and a passivating additive, the pores in the low-k dielectric layer are dilated some of which connect with one another to form one or more continuous channels extending through the thickness of the dielectric layer and allowing the HF-based solvent to reach down to the substrate. Then the passivating additive component of the HF-based etching solvent forms a passivation layer at the dielectric layer and the substrate interface that protects substrate from the HF-based etchant.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tai-Yung Yu, Yu-Sheng Su, Li-Te Hsu, Jin-Lin Liang, Pin-Chia Su
  • Patent number: 7846266
    Abstract: Cleaning and reclaiming nano-imprint templates using environment friendly methods and systems is disclosed. A template may be cleaned by a combination of exposure to activated gaseous species followed by rinsing with oxygenated or hydrogenated DI water and exposure to reactive plasma to remove organic contaminant. Contaminant may be removed by forming a coating film of a water soluble polymer on the template and then peeling off the coating film. Organic residue from the film may be removed using oxygenated plasma.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: December 7, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Tony Dibiase
  • Patent number: 7846257
    Abstract: The substrate processing apparatus includes a plurality of processing chambers. A given processing chamber is cleaned by first executing first processing during which voltage application control is executed to control a voltage applied to an electrostatic chuck based upon first processing voltage application information provided for the particular processing chamber while drawing an inert gas into the processing chamber and evacuating the processing chamber sustaining therein low pressure conditions therein and then executing second processing during which voltage application control is executed to control the voltage application to the electrostatic chuck based upon second processing voltage application information for the processing chamber while drawing in the inert gas and evacuating the processing chamber, the internal pressure of which is set to a high level.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: December 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Nakamura, Kiyohito Iijima
  • Patent number: 7846845
    Abstract: A method and system for removing volatile residues from a substrate are provided. In one embodiment, the volatile residues removal process is performed en-routed in the system while performing a halogen treatment process on the substrate. The volatile residues removal process is performed in the system other than the halogen treatment processing chamber and a FOUP. In one embodiment, a method for volatile residues from a substrate includes providing a processing system having a vacuum tight platform, processing a substrate in a processing chamber of the platform with a chemistry comprising halogen, and treating the processed substrate in the platform to release volatile residues from the treated substrate.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth J. Bahng, Matthew Fenton Davis, Thorsten Lill, Steven H. Kim
  • Publication number: 20100294306
    Abstract: Provided is a method for cleaning a semiconductor device substrate, which is excellent in removability and re-adhesion-preventing properties of contaminations of fine particles or organic matter, metal contamination and combined contamination of organic matter and metal, which are adhered to a substrate surface, and which can highly clean the substrate surface without corroding it even when an intense ultrasonic wave is not applied. It is a method for cleaning a semiconductor device substrate, the method comprising cleaning the semiconductor device substrate while applying an ultrasonic wave having an intensity of 0.2 W or more and 1.
    Type: Application
    Filed: December 3, 2008
    Publication date: November 25, 2010
    Applicant: Mitsubishi Chemical Corporation
    Inventors: Hideaki Mochizuki, Makoto Ishikawa, Noriyuki Saito
  • Patent number: RE42128
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 8, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: RE42420
    Abstract: The wet treatment liquid feed nozzle of the invention comprises an introducing path having an introducing port, a discharging path having a discharging port, a crossing section formed by causing the introducing path and the discharging path to cross at the other ends thereof, a nozzle assembly having an opening section opening to an object to be treated, provided at the crossing section, and pressure control means, for controlling the difference between the pressure of the wet treatment liquid in contact with the object to be treated and the atmospheric pressure provided at least on the discharging path side so that the wet treatment liquid having been in contact with the object to be treated via the opening section does not flow to outside the discharging path.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: June 7, 2011
    Assignees: Alps Electric Co., Ltd., Organo Corporation
    Inventors: Kenichi Mitsumori, Yasuhiko Kasama, Akira Abe, Oh Eui Yeol, Tadahiro Ohmi, Takashi Imaoka, Masayuki Toda