Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 8455419
    Abstract: Disclosed is a stripping agent that can easily strip a photoresist residue and the like at a low temperature in a short time and, at the same time, does not corrode a wiring material at all and has no need to use an organic solvent such as alcohol as a rinsing liquid. The stripping agent disclosed herein comprises 5 to 50% by mass of a specific amine, 30 to 65% by mass of a specific acid amide, 0.1 to 15% by mass of a saccharide or a sugar alcohol, and 1 to 64.5% by mass of water.
    Type: Grant
    Filed: November 16, 2011
    Date of Patent: June 4, 2013
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Toshihiro Nomura, Masahide Matsubara, Seiji Naito, Takashi Nakamura, Yuuichi Sugano
  • Patent number: 8448655
    Abstract: An ultrasonic cleaning device is provided which can easily cope with an increase in a diameter of a cleaning surface of an object to be cleaned. An ultrasonic cleaning device according to the present invention includes an ultrasonic transducer 13 for providing ultrasonic energy to a propagation liquid 15, an ultrasonic propagation tube 12 for flowing the propagation liquid provided with the ultrasonic energy by the ultrasonic transducer, a holding mechanism disposed below the ultrasonic propagation tube for holding an object to be cleaned 21, and a cleaning liquid supply mechanism for supplying a cleaning liquid to a cleaning surface of the object to be cleaned held by the holding mechanism, and the ultrasonic propagation tube 12 is disposed so that a side surface thereof may contact a liquid film 19 of the cleaning liquid formed on the cleaning surface by supplying the cleaning liquid to the cleaning surface by the cleaning liquid supply mechanism.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: May 28, 2013
    Assignee: Kaijo Corporation
    Inventors: Kazunari Suzuki, Ki Han
  • Patent number: 8449681
    Abstract: A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: May 28, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Anh Duong, Indranil De
  • Patent number: 8449684
    Abstract: The present invention provides a substrate cleaning method capable of removing particles from the entire surface of a substrate to be processed at a high removing efficiency. In the substrate cleaning method according to the present invention, a substrate to be processed W is immersed in a cleaning liquid in a cleaning tank 12. Then, ultrasonic waves are generated in the cleaning liquid contained in the cleaning tank 12, so that the substrate W is subjected to an ultrasonic cleaning process. The step of generating ultrasonic waves includes a step of generating ultrasonic waves in the cleaning tank while the cleaning liquid is being supplied into the cleaning tank. A supply rate at which the cleaning liquid is supplied into the cleaning tank at a certain timing in the step of generating ultrasonic waves differs from a supply rate at which the cleaning liquid is supplied into the cleaning tank at another timing in the step of generating ultrasonic waves.
    Type: Grant
    Filed: April 11, 2007
    Date of Patent: May 28, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tsukasa Watanabe, Naoki Shindo
  • Patent number: 8444768
    Abstract: Compositions and methods useful for the removal of organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. A method is presented which applies a minimum volume of the composition as a coating to the inorganic substrate whereby sufficient heat is added and immediately rinsed with water to achieve complete removal. These compositions and methods are particularly suitable for removing and completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: May 21, 2013
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire
  • Patent number: 8444868
    Abstract: The invention is directed to a method for removing copper oxide from a copper surface to provide a clean copper surface, wherein the method involves exposing the copper surface containing copper oxide thereon to an anhydrous vapor containing a carboxylic acid compound therein, wherein the anhydrous vapor is generated from an anhydrous organic solution containing the carboxylic acid and one or more solvents selected from hydrocarbon and ether solvents.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Stephan Grunow, Zhengwen Li, Huilong Zhu
  • Patent number: 8440573
    Abstract: A method is provided for processing a wafer used in fabricating semiconductor devices. The method can comprise forming high-aspect ratio features on the wafer, which is followed by wet processing and drying. During drying, pattern collapse can occur. This pattern collapse can be repaired to allow for additional processing of the wafer. In some instance, pattern collapse can be repaired via etching where the etching breaks bonds that can have formed during pattern collapse.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: May 14, 2013
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin, Qian Fu, Glenn W. Gale, Shenjian Liu, Mark H. Wilcoxson
  • Patent number: 8440599
    Abstract: A composition comprising one or more water soluble organic solvents comprising a glycol ether; water; a fluoride containing compound provided that if the fluoride containing compound is ammonium fluoride than no additional fluoride containing compound is added to the composition; optionally a quaternary ammonium compound; and optionally a corrosion inhibitor is disclosed herein that is capable of removing residues from an article such as photoresist and/or etching residue. Also disclosed herein is a method for removing residues from an article using the composition disclosed herein.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: May 14, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Michael Walter Legenza, Thomas Michael Weider, Jennifer May Rieker
  • Patent number: 8430969
    Abstract: A process flow exposing and cleaning contact surfaces employing a liquid cleaning agent such as flux to penetrate the interface between the glassy coats and the surface of metal and to delaminate the coats from the metal, and then, at elevated temperatures, to use the agent's vapor pressure to break up the glassy coats into smaller pieces. The glassy coats are prevented by their low density to penetrate into the molten solder. Finally, at ambient temperature, the floating filler debris is water-washed and rinsed away. Cleaning agents include low-viscosity liquids (oils) and flux, which do not decompose at elevated temperatures and are mixed with components operable to provide, at the elevated temperatures, the fumes for sufficient vapor pressure to break up and dislodge the coats from the metal contacts.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: April 30, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Mark A Gerber, Kurt P Wachtler
  • Patent number: 8431516
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a metal ion free base, and a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: April 30, 2013
    Inventor: Wai Mun Lee
  • Patent number: 8419964
    Abstract: Chemical etching methods and associated modules for performing the removal of metal from the edge bevel region of a semiconductor wafer are described. The methods and systems provide the thin layer of pre-rinsing liquid before applying etchant at the edge bevel region of the wafer. The etchant is less diluted and diffuses faster through a thinned layer of rinsing liquid. An edge bevel removal embodiment involving that is particularly effective at reducing process time, narrowing the metal taper and allowing for subsequent chemical mechanical polishing, is disclosed.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: April 16, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Kousik Ganesan, Shanthinath Ghongadi, Tariq Majid, Aaron Labrie, Steven T. Mayer
  • Patent number: 8415254
    Abstract: A method is provided for fabricating a semiconductor device. The method includes removing a silicon material from a gate structure located on a substrate through a cycle including: etching the silicon material to remove a portion thereof, where the substrate is spun at a spin rate, applying a cleaning agent to the substrate, and drying the substrate; and repeating the cycle, where a subsequent cycle includes a subsequent spin rate for spinning the substrate during the etching and where the subsequent spin rate does not exceed the spin rate of the previous cycle.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: April 9, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Matt Yeh, Fan-Yi Hsu, Shun Wu Lin, Shu-Yuan Ku, Hui Ouyang
  • Publication number: 20130061873
    Abstract: In an apparatus and method for treating a wafer-shaped article, a spin chuck is provided for holding a wafer-shaped article in a predetermined orientation wherein a lower surface of the wafer-shaped article is spaced a predetermined distance from an upper surface of the spin chuck. A heating assembly comprising at least one infrared heater is mounted above the upper surface of the spin chuck and below a wafer-shaped article when mounted on the spin chuck. The heating assembly is stationary in relation to rotation of the spin chuck.
    Type: Application
    Filed: September 9, 2011
    Publication date: March 14, 2013
    Applicant: LAM RESEARCH AG
    Inventors: Karl-Heinz HOHENWARTER, Lach OTTO
  • Publication number: 20130056024
    Abstract: A substrate cleaning method for cleaning a substrate on which a film is formed with a pattern in a vacuum-state processing chamber includes a preprocessing step where the film formed on the substrate on which the pattern has been formed by an etching process is cleaned by using a cleaning gas; and a consecutive step including an oxidation step where residues attached on a surface of the pattern are oxidized by using an oxidizing gas and a reduction step where the oxidized residues are reduced by using a reducing gas, which are consecutively carried out posterior to the preprocessing step. The gases used in the preprocessing step and the consecutive step are clustered by ejecting the gases into the processing chamber from a gas nozzle whose internal pressure PS is maintained to be higher than an internal pressure PO of the processing chamber.
    Type: Application
    Filed: February 23, 2011
    Publication date: March 7, 2013
    Applicants: IWATANI CORPORATION, TOKYO ELECTRON LIMITED
    Inventors: Satohiko Hoshino, Hidefumi Matsui, Masaki Narushima
  • Patent number: 8388758
    Abstract: A surface cleaning apparatus comprising a chamber, and a thermal transfer device. The chamber is capable of holding a semiconductor structure therein. The thermal transfer device is connected to the chamber. The thermal transfer device has a surface disposed inside the chamber for contacting the semiconducting structure and controlling a temperature of the semiconductor structure in contact with the surface. The thermal transfer device has a thermal control module connected to the surface for heating and cooling the surface to thermally cycle the surface. The thermal control module effects a substantially immediate thermal response of the surface when thermally recycling the surface.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: March 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: John P. Simons, Kenneth J. McCullough, Wayne M. Moreau, John M. Cotte, Keith R. Pope, Charles J. Taft, Dario L. Goldfarb
  • Patent number: 8389454
    Abstract: A manufacturing a thin film transistor array panel includes depositing a first thin film including aluminum on a substrate, patterning the first thin film by photolithography and etching, cleansing the substrate including the first thin film, and depositing a second thin film on the cleansed substrate. The cleansing is performed using a cleansing material including ultrapure water, cyclic amine, pyrogallol, benzotriazole, and methyl glycol. The cleansing material includes ultrapure water at about 85 wt % to about 99 wt %, cyclic amine at about 0.01 wt % to about 1.0 wt %, pyrogallol at about 0.01 wt % to 1.0 wt %, benzotriazole at about 0.01 wt % to 1.0 wt %, and methyl glycol at about 0.01 wt % to 1.0 wt %.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: March 5, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: Hong-Sick Park
  • Publication number: 20130045584
    Abstract: The invention relates to a method of eliminating fragments of material present on the exposed surface of a first wafer bonded to a second wafer, the method including a step consisting of placing the first wafer in a liquid solution and propagating ultrasonic waves in the solution. The invention also relates to a process for manufacturing a multilayer structure comprising the following successive steps: bonding of a first wafer to a second wafer so as to form a multilayer structure; annealing of the structure; and thinning of the first wafer, including at least one step of chemically etching the first wafer. The process further includes, after the chemical etching step, the elimination of fragments of material present on the exposed surface of the thinned first wafer.
    Type: Application
    Filed: February 7, 2011
    Publication date: February 21, 2013
    Applicant: SOITEC
    Inventor: Benedicte Osternaud
  • Patent number: 8377217
    Abstract: Inventive methods, systems and compositions of cleaning integrated circuit (“IC”) substrates are described. The cleaning methods of the present invention include: charging a solution, which contains at least a solute selected to promote cleaning of the IC substrate, to produce a charged solution, such that at least a portion of the solute is present as clusters in the charged solution; and conveying the charged solution for cleaning the IC substrate. The cleaning systems of the present invention include: a charging chamber for holding a solution, which contains at least a solute selected to promote cleaning of the integrated circuit substrate; and a first acoustic energy source capable of vibrating the solution in the charging chamber to produce a charged solution such that at least a portion of the solute is present as clusters in the charged solution.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: February 19, 2013
    Assignee: Nano OM, LLC
    Inventor: Suraj Puri
  • Patent number: 8372651
    Abstract: A method of monitoring a surfactant in a microelectronic process is disclosed. Specifically, the monitoring of a surfactant occurs by studying the absorbance of a sample collected from a microelectronic process.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: February 12, 2013
    Assignee: Nalco Company
    Inventors: Amy M. Tseng, John E. Hoots, Brian V. Jenkins
  • Patent number: 8367312
    Abstract: Conventional detergents for lithography which contain a surfactant as an active ingredient should have a reduced surfactant concentration because heightened surfactant concentrations result in dissolution of the resin component of a photoresist composition and hence in a dimensional change of a resist pattern. However, the conventional detergents have had a drawback that such a low concentration unavoidably reduces the ability to inhibit pattern falling and defect occurrence. A detergent for lithography is provided which is an aqueous solution containing (A) at least one member selected among nitrogenous cationic surfactants and nitrogenous ampholytic surfactants and (B) an anionic surfactant. This detergent retains a low surface tension even when it has a low concentration. It is effective in inhibiting pattern falling and defect occurrence. It can also inhibit resist patterns from fluctuating in dimension.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: February 5, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshihiro Sawada, Kazumasa Wakiya, Jun Koshiyama, Hidekazu Tajima, Atsushi Miyamoto, Tomoya Kumagai, Atsushi Sawano
  • Patent number: 8367594
    Abstract: A system, method and an apparatus to remove contaminants from a semiconductor substrate surface includes application of a cleaning material. The cleaning material includes a cleaning solution and a plurality of micron-sized dry polyvinyl particles dispersed in the cleaning solution. The cleaning solution is a single phase polymeric compound that is made of long polymeric chains and exhibits distinct viscoelastic properties. The plurality of micron-sized dry polyvinyl alcohol particles absorb the liquid in the cleaning solution and become uniformly suspended within the cleaning material. The suspended polyvinyl alcohol particles interact with at least some of contaminants on the semiconductor substrate surface to release and remove the contaminants from the substrate surface. The released contaminants are entrapped within the cleaning material and removed with the cleaning material leaving behind a substantially clean substrate surface.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: February 5, 2013
    Assignee: LAM Research Corporation
    Inventor: Katrina Mikhaylichenko
  • Patent number: 8361237
    Abstract: The present invention is a formulation for wet clean removal of post etch and ash residue from a semiconductor substrate having a CoWP feature, comprising; Deionized water; Organic acid; Amine and/or quaternary ammonium hydroxide; wherein the formulation is compatible with the CoWP feature and either (a) the molar ratio of amine and/or quaternary ammonium hydroxide to organic acid provides a pH in the range of 7-14; or (b) the formulation includes a corrosion inhibitor. A method of using the formulation is also described.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: January 29, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Madhukar Bhaskara Rao, Eugene C. Baryschpolec
  • Patent number: 8357646
    Abstract: The present invention, in a preferred embodiment, is a photoresist stripper formulation, comprising: Hydroxylamine ; Water; a solvent selected from the group consisting of dimethylsulfoxide; N-methylpyrrrolidine; dimethylacetamide; dipropylene glycol monomethyl ether; monoethanolamine and mixtures thereof; a base selected from the group consisting of choline hydroxide, monoethanolamine, tetramethylammonium hydroxide; aminoethylethanolamine and mixtures thereof; a metal corrosion inhibitor selected from the group consisting of catechol, gallic acid, lactic acid, benzotriazole and mixtures thereof; and a bath life extending agent selected from the group consisting of glycerine, propylene glycol and mixtures thereof. The present invention is also a method for using formulations as exemplified in the preferred embodiment.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: January 22, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Wen Dar Liu, Yi Chia Lee, Archie Liao, Madhukar Bhaskara Rao, Matthew I. Egbe, Chimin Sheu, Michael Walter Legenza
  • Patent number: 8354365
    Abstract: Provided are a cleaning liquid for lithography that exhibits excellent corrosion suppression performance in relation to ILD materials, and excellent removal performance in relation to a resist film and a bottom antireflective coating film, and a method for forming a wiring using the cleaning liquid for lithography. The cleaning liquid for lithography according to the present invention includes a quaternary ammonium hydroxide, a water soluble organic solvent, water, and an inorganic base. The water soluble organic solvent contains a highly polar solvent having a dipole moment of no less than 3.0 D, a glycol ether solvent and a polyhydric alcohol, and the total content of the highly polar solvent and the glycol ether solvent is no less than 30% by mass relative to the total mass of the liquid for lithography.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 15, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takuya Ohhashi, Masaru Takahama, Takahiro Eto, Daijiro Mori, Shigeru Yokoi
  • Patent number: 8354215
    Abstract: Disclosed is a method for stripping a photoresist comprising: (I) providing a photoresist pattern on a substrate where the substrate has at least a copper (Cu) wiring and a low-dielectric layer thereon, and selectively etching the low-dielectric layer by using the photoresist pattern as a mask; (II) contacting the substrate after the step (I), with ozone water and/or aqueous hydrogen peroxide; and (III) contacting the substrate after the step (II), with a photoresist stripping solution that contains at least a quaternary ammonium hydroxide.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: January 15, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shigeru Yokoi, Kazumasa Wakiya, Takayuki Haraguchi
  • Publication number: 20130008462
    Abstract: A fluid manifold comprised of a manifold adapted to deliver fluid directly into a gap formed between a surface of a substrate and an acoustic transducer. The fluid is delivered into the gap at a variable rate along a length of the manifold. Preferably, the manifold includes a plurality of apertures positioned along the length of the manifold for dispensing the fluid into the gap at the variable rate.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 10, 2013
    Applicant: PRODUCT SYSTEMS INCORPORATED
    Inventors: Mark J. Beck, Eric G. Liebscher
  • Patent number: 8349213
    Abstract: This invention relates to cleaning compositions comprising unsaturated fluorinated hydrocarbons. The invention further relates to use of said cleaning compositions in methods to clean, degrease, deflux, dewater, and deposit fluorolubricant. The invention further relates to novel unsaturated fluorinated hydrocarbons and their use as cleaning compositions and in the methods listed above.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: January 8, 2013
    Assignee: E I du Pont de Nemours and Company
    Inventors: Mario Joseph Nappa, Melodie A. Schweitzer, Allen Capron Sievert, Ekaterina N. Swearingen
  • Patent number: 8349087
    Abstract: A semiconductor device manufacturing method includes loading plural dry-etched wafers one by one in a container having a side door so as to be disposed substantially horizontally and in layers vertically therein; and blowing out a purge gas horizontally to those wafers loaded in the container for 30 sec or more after all the subject wafers are loaded in the container while the side door is open.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: January 8, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Hidetaka Nambu, Nobuo Hironaga, Futoshi Ota, Toru Yokoyama, Osamu Sugawara, Ryo Satou, Masato Tamura
  • Publication number: 20130000669
    Abstract: Methods and apparatus for cleaning a substrate (e.g., wafer) in the fabrication of semiconductor devices utilizing electrorheological (ER) and magnetorheological (MR) fluids to remove contaminant residual particles from the substrate surface are provided.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 3, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Nishant Sinha
  • Patent number: 8338350
    Abstract: A microelectronic photoresist cleaning composition suitable for cleaning multi-metal microelectronic devices and to do so without any substantial or significant galvanic corrosion occurring when there is a subsequent rinsing step employing water.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: December 25, 2012
    Assignee: Avantor Performance Materials Inc.
    Inventor: Seiji Inaoka
  • Patent number: 8329595
    Abstract: Processes for enhancing solubility and the reaction rates in supercritical fluids are provided. In preferred embodiments, such processes provide for the uniform and precise deposition of metal-containing films on semiconductor substrates as well as the uniform and precise removal of materials from such substrates. In one embodiment, the process includes, providing a supercritical fluid containing at least one reactant, the supercritical fluid being maintained at above its critical point, exposing at least a portion of the surface of the semiconductor substrate to the supercritical fluid, applying acoustic energy, and reacting the at least one reactant to cause a change in at least a portion of the surface of the semiconductor substrate.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: December 11, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Theodore M. Taylor, Stephen J. Kramer
  • Patent number: 8323414
    Abstract: A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: December 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroshi Nagaike
  • Patent number: 8324143
    Abstract: Provided is a cleaning agent for electronic materials, which enables very efficient advanced cleaning such that yield in the production of the electronic materials is improved and cleaning in a short period of time becomes possible, the cleaning agent having excellent cleaning power for fine-grained particles and organic matter and being able to reduce metallic contamination on the substrate. The cleaning agent for electronic materials comprises sulfamic acid (A), an anionic surfactant having at least one sulfonic acid group or a salt thereof in the molecule (B), a chelating agent (C), and water, wherein the pH at 25 C is preferably not more than 3.0 and the (B) is preferably a polymeric anionic surfactant (B1) having a weight average molecular weight of 1,000 to 2,000,000.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: December 4, 2012
    Assignee: Sanyo Chemical Industries, Ltd.
    Inventors: Kazumitsu Suzuki, Shohei Sato, Ayayo Sugiyama
  • Patent number: 8317930
    Abstract: Methods and apparatus for cleaning a substrate (e.g., wafer) in the fabrication of semiconductor devices utilizing electrorheological (ER) and magnetorheological (MR) fluids to remove contaminant residual particles from the substrate surface are provided.
    Type: Grant
    Filed: July 11, 2011
    Date of Patent: November 27, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 8317929
    Abstract: A method for cleaning elements of a lithographic apparatus, for example optical elements such as a collector mirror, includes providing a gas containing nitrogen; generating nitrogen radicals from at least part of the gas, thereby forming a radical containing gas; and providing at least part of the radical containing gas to the one or more elements of the apparatus. A lithographic apparatus includes a source and an optical element, and an electrical discharge generator arranged to generate a radio frequency discharge.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: November 27, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Tatyana Victorovna Rakhimova, Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov, Konstantin Nikolaevitch Koshelev, Johannes Hubertus Johannes Moors, Aleksander Sergeevich Kovalev, Dmitriy Victorovich Lopaev
  • Patent number: 8313582
    Abstract: A system and method of forming and using a proximity head. The proximity head includes a head surface including a first zone, a second zone and an inner return zone. The first zone including a first flat surface region and multiple first discrete holes connected to a corresponding first conduit and arranged in a first row. The second zone including a second flat region and multiple second discrete holes connected to a corresponding second conduit. The inner return zone being disposed between and adjacent to the first zone and the second zone and including multiple inner return discrete holes connected to a corresponding inner return conduit and arranged in an inner return row. The first row and the inner return row are parallel. A portion of an edge of each of the inner return discrete holes is recessed into the head surface.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Robert O'Donnell, Cheng-Yu (Sean) Lin, Arnold Kholodenko
  • Patent number: 8309472
    Abstract: A method for fabricating semiconductor devices includes providing a semiconductor substrate having a surface region containing one or more contaminants and having an overlying oxide layer. In an embodiment, the one or more contaminants are at least a carbon species. The method includes processing the surface region using at least a wet processing process to selectively remove the overlying oxide layer and expose the surface region including the one or more contaminants. The method includes subjecting the surface region to a high energy electromagnetic radiation having wavelengths ranging from about 300 to about 800 nanometers for a time period of less than 1 second to increase a temperature of the surface region to greater than 1000 degrees Celsius to remove the one or more contaminants. The method includes removing the high energy electromagnetic radiation to cause a reduction in temperature to about 300 to about 600 degrees Celsius in a time period of less than 1 second.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: November 13, 2012
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: David Gao, Fumitake Mieno
  • Patent number: 8309502
    Abstract: Compositions and methods useful for the removal of organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. A method is presented which applies a minimum volume of the composition as a coating to the inorganic substrate whereby sufficient heat is added and immediately rinsed with water to achieve complete removal. These compositions and methods are particularly suitable for removing and completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: November 13, 2012
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire
  • Patent number: 8309465
    Abstract: A system produces devices that include a semiconductor part and a non-semiconductor part. A front end is configured to receive a semiconductor part and to process the semiconductor part. A back end is configured to receive the processed semiconductor part and to assemble the processed semiconductor part and a non-semiconductor part into a device. A transfer device is configured to automatically handle the semiconductor part in the front end and to automatically transfer the processed semiconductor part to the back end.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: November 13, 2012
    Assignee: Infineon Technologies AG
    Inventors: Oskar Neuhoff, Tobias Gamon, Norbert Martin Haueis, Dirk Pikorz, Michael Wolfgang Larisch, Franz Reithner
  • Patent number: 8310131
    Abstract: A megasonic processing apparatus and method has one or more piezoelectric transducers operating in thickness mode at fundamental resonant frequencies of at least 300 KHz. A generator powers the transducers with a variable-frequency driving signal that varies or sweeps throughout a predetermined sweep frequency range. The generator repeatedly vanes or sweeps the frequency of the driving signal through a sweep frequency range that includes the resonant frequencies of all the transducers.
    Type: Grant
    Filed: October 2, 2009
    Date of Patent: November 13, 2012
    Assignee: Megasonic Sweeping, Inc.
    Inventor: J. Michael Goodson
  • Publication number: 20120273363
    Abstract: Improved methods and apparatus for cleaning substrates and enhancing diffusion limited reaction at substrate surfaces use piezoelectric transducers operating in the gigasonic domain. The resonator assemblies include plural transducer stacks each including a thin film piezoelectric element coupled to a resonator plate that faces the substrate. At the disclosed frequencies and powers used, Eckart or Rayleigh streaming can be induced in a liquid treatment medium without substantial generation of cavitation.
    Type: Application
    Filed: April 28, 2011
    Publication date: November 1, 2012
    Applicant: LAM RESEARCH AG
    Inventors: Frank HOLSTEYNS, Alexander LIPPERT
  • Publication number: 20120266913
    Abstract: Some embodiments include methods of removing particles from over surfaces of semiconductor substrates. Liquid may be flowed across the surfaces and the particles. While the liquid is flowing, electrophoresis and/or electroosmosis may be utilized to enhance transport of the particles from the surfaces and into the liquid. In some embodiments, temperature, pH and/or ionic strength within the liquid may be altered to assist in the removal of the particles from over the surfaces of the substrates.
    Type: Application
    Filed: June 27, 2012
    Publication date: October 25, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Neil Joseph Greeley, Dan Millward, Wayne Huang
  • Patent number: 8293694
    Abstract: A semiconductor wafer cleaning formulation, including 1-35% wt. fluoride source, 20-60% wt. organic amine(s), 0.1-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 20-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: October 23, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: William A. Wojtczak, Ma. Fatimo Seijo, David Bernhard, Long Nguyen
  • Patent number: 8293021
    Abstract: A method of conditioning the surface of a work piece, in particular of a litho-strip or litho-sheet, consisting of an aluminum alloy enables an increase in manufacturing speed in surface roughening while maintaining a high quality of the electro-chemical grained surface of the work piece with relative low effort related to facility equipment. The method of conditioning comprises at least the step of degreasing the surface of the work piece with a degreasing medium, wherein the degreasing medium contains at least 1.5 to 3% by weight of a composite of 5-40% sodium tripolyphosphate, 3-10% sodium gluconate, 3-8% of a composite of non-ionic and anionic surfactants and optionally 0.5 to 70% soda, wherein sodium hydroxide is added to the degreasing medium such that the concentration of sodium hydroxide in the aqueous degreasing medium is 0.01 to 5% by weight.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: October 23, 2012
    Assignee: Hydro Aluminium Deutschalnd GmbH
    Inventors: Bernhard Kernig, Henk-Jan Brinkman
  • Patent number: 8293019
    Abstract: Methods for cleaning a surface of a substrate and for increasing the useable lifetime of a photomask substrate are provided. In one method, a substrate has at least one radiation-produced particle disposed thereon, and a laser that has a wavelength that substantially coincides with a high absorption coefficient of the substrate is directed towards the substrate. A thermal increase is generated in the substrate, and the radiation-produced particle is removed from the substrate by transferring thermal energy from the substrate to the radiation-produced particle until the radiation-produced particle decomposes.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: October 23, 2012
    Assignee: Rave, LLC
    Inventors: Jeffrey E. LeClaire, Kenneth G. Roessler, David Brinkley
  • Patent number: 8288330
    Abstract: The present invention is a composition for removal of multi-layer photoresist layers on an electronic device substrate for rework of the photoresist on the substrate, comprising; (i) a solvent blend of at least three discrete solvents, (ii) at least one organic sulfonic acid, and (iii) at least one corrosion inhibitor. The present invention is also a method for using the composition. This composition and method succeed in removing such multi-layer photoresist at temperatures less than 65° C. and in contact times under three minutes, allowing high throughput on single wafer tools.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: October 16, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, John Anthony Marsella
  • Patent number: 8288282
    Abstract: Disclosed is a metal-polishing liquid comprising: a metal-oxide-dissolving agent; a metal-oxidizing agent; a metal anticorrosive; a water-soluble polymer having a weight-average molecular weight of 8,000 or higher and having an anionic functional group and a nonionic functional group; and water, and having a pH within the range of 2.5 or higher but 5.0 or less. The metal-polishing liquid is effective in reducing the frictional force in polishing which generates during CMP. And is highly effective in flattening the surface of a work to be polished.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: October 16, 2012
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Yutaka Nomura, Masato Fukasawa, Hiroshi Nakagawa
  • Patent number: 8287751
    Abstract: A system and method is described for providing a continuous bath wetdeck process for use in the manufacture of semiconductor wafers. The invention provides a method for extending an effective working life of a chemical bath of the type that comprises a chemical bath liquid within a chemical bath container. An amount of fresh chemical is continuously added to the chemical bath liquid and an amount of chemical bath liquid is simultaneously purged from the chemical bath container. A balance is maintained between the amount of fresh chemical that is added to the chemical bath liquid and the amount of chemical bath liquid that is purged in order to maintain the effectiveness of the chemical bath liquid to clean semiconductor wafers within the chemical bath.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: October 16, 2012
    Assignee: National Semiconductor Corporation
    Inventor: Jeffrey Hebert
  • Patent number: 8278186
    Abstract: The present invention relates to a wafer cleaning and a wafer bonding method using the same that can improve a yield of cleaning process and bonding property in bonding the cleaned wafer by cleaning the wafer using atmospheric pressure plasma and cleaning solution. The wafer cleaning method includes the steps of providing a process chamber with a wafer whose bonding surface faces upward, cleaning and surface-treating the bonding surface of the wafer by supplying atmospheric pressure plasma and a cleaning solution to the bonding surface of the wafer, and withdrawing out the wafer from the process chamber.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: October 2, 2012
    Assignee: Ltrin Co., Ltd.
    Inventors: Yong Won Cha, Dong Chul Kim
  • Patent number: 8277564
    Abstract: A method for removing a hardened photoresist from a semiconductor substrate. An example method for removing a hardened photoresist layer from a substrate comprising a low-? dielectric material preserving the characteristics of the low-?dielectric material includes: a)—providing a substrate comprising a hardened photoresist layer and a low-? dielectric material at least partially exposed; b)—forming C?C double bonds in the hardened photoresist by exposing the hardened photoresist to UV radiation having a wavelength between 200 nm and 300 nm in vacuum or in an inert atmosphere; c)—breaking the C?C double bonds formed in step b) by reacting the hardened photoresist with ozone (O3) or a mixture of ozone (O3) and oxygen (O2) thereby fragmenting the hardened photoresist; and d)—removing the fragmented photoresist obtained in step c) by wet processing with cleaning chemistries.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: October 2, 2012
    Assignee: IMEC
    Inventors: Quoc Toan Le, Els Kesters, Guy Vereecke