Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 9662686
    Abstract: A device and method for treating the surface of a semiconductor wafer provides a treatment fluid in the form of a dispersion of gas bubbles in a treatment liquid generated at acoustic pressures less than those required to induce cavitation in the treatment liquid. A resonator supplies ultrasonic or megasonic energy to the treatment fluid and is configured to create an interference pattern in the treatment fluid comprising regions of pressure amplitude minima and maxima at an interface of the treatment fluid and the semiconductor wafer.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: May 30, 2017
    Assignee: LAM RESEARCH AG
    Inventors: Frank Ludwig Holsteyns, Alexander Lippert
  • Patent number: 9659796
    Abstract: An apparatus for manufacturing integrated circuits on a wafer includes a polish pad; a rinse arm movable over the polish pad; and a post-polish cleaner. The post-polish cleaner includes a brush for brushing the wafer; and a nozzle aiming at the wafer. The apparatus further includes a mixer configured to mix an additive and de-ionized water; and a pipe connecting the mixer to at least one of the rinse arm and the nozzle.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: May 23, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng Hsun Chan, Ming-Che Ho
  • Patent number: 9633833
    Abstract: A method for cleaning semiconductor substrate using ultra/mega sonic device comprising holding a semiconductor substrate by using a chuck, positioning a ultra/mega sonic device adjacent to the semiconductor substrate, injecting chemical liquid on the semiconductor substrate and gap between the semiconductor substrate and the ultra/mega sonic device, changing gap between the semiconductor substrate and the ultra/mega sonic device for each rotation of the chuck during the cleaning process by turn the semiconductor substrate or the ultra/mega sonic device clockwise or counter clockwise.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: April 25, 2017
    Assignee: ACM RESEARCH (SHANGHAI) INC.
    Inventors: Jian Wang, Sunny Voha Nuch, Liangzhi Xie, Junping Wu, Zhaowei Jia, Yunwen Huang, Zhifeng Gao, Yue Ma, Hui Wang
  • Patent number: 9595433
    Abstract: A substrate processing method includes a rinsing step of supplying water of a first temperature to a surface of a silicon substrate to apply a rinsing process using the water to the silicon substrate surface, a second temperature water supplying (coating) step of supplying water of a second temperature lower than the first temperature to the silicon substrate surface after the rinsing step, and a drying step of rotating the silicon substrate after the second temperature water supplying step to spin off the water on the silicon substrate surface to a periphery of the silicon substrate and thereby dry the silicon substrate.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: March 14, 2017
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Hiroaki Takahashi
  • Patent number: 9583345
    Abstract: A method of fabricating a semiconductor device includes forming a first layer over a substrate and forming a second layer over the first layer. The method further includes patterning the second layer into a mask having one or more openings that expose portions of the first layer. The method further includes etching the first layer through the one or more openings via a first etching process, resulting in a patterned first layer. The first etching process includes forming a coating layer around both the mask and the patterned first layer while the first layer is being etched.
    Type: Grant
    Filed: September 23, 2015
    Date of Patent: February 28, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Yen Chen, Kuan-Nan Liu
  • Patent number: 9540742
    Abstract: A bactericidal water generating system and a method of bactericidal washing which can enhance the bactericidal capacity and washing effect of acidic electrolyzed water. An electrolyzer electrolyzes a chloride-containing aqueous electrolyte solution to generate acidic electrolyzed water, the aqueous electrolyte being pre-adjusted to pH 3 to 5 with a pH adjuster. An acidic water container is connected to the electrolyzer, and stores the acidic electrolyzed water generated by the electrolyzer. A gaseous chlorine circulator collects gaseous chlorine generated from the acidic electrolyzed water in the acidic water container, and the gaseous chlorine collected is supplied to the acidic electrolyzed water in the acidic water container by bubbling. A transition metal supply unit is provided so that a transition metal-containing solution can be supplied to the inside of the acidic water container.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: January 10, 2017
    Inventor: Minoru Kanno
  • Patent number: 9536730
    Abstract: A composition and method for removing copper-containing post-etch and/or post-ash residue from patterned microelectronic devices is described. The removal composition includes water, a water-miscible organic solvent, an amine compound, an organic acid, and a fluoride ion source. The compositions effectively remove the copper-containing post-etch residue from the microelectronic device without damaging exposed low-k dielectric and metal interconnect materials.
    Type: Grant
    Filed: August 27, 2013
    Date of Patent: January 3, 2017
    Assignee: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Yi Chia Lee, Madhukar Bhaskara Rao, Gautam Banerjee, Wen Dar Liu, Aiping Wu, Seiji Inaoka
  • Patent number: 9536757
    Abstract: A cleaning method using vaporized solvent is provided. A solvent-containing vapor is generated, wherein the solvent-containing vapor comprises a solvent. The solvent-containing vapor is conducted to a substrate having debris or contaminants to clean the substrate, wherein the solvent-containing vapor condenses to form a liquid on a surface of the substrate. The liquid phase of the solvent-containing vapor is changed to a solid phase. The solid phase of the solvent-containing vapor is changed back to a liquid phase. The substrate is spun dried to remove the solvent-containing vapor in liquid phase and any debris or contaminants.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: January 3, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Albert Po Chia Chen, Kuo-Sheng Chuang, Chi-Ming Yang
  • Patent number: 9513556
    Abstract: A method of process chemical temperature control for resist stripping of a substrate in a resist stripping system includes selecting at least two temperature control objectives and selecting at least two temperature control operating variables for optimization to achieve the at least two temperature control objectives. The method further includes injecting and mixing a first process chemical and a second process chemical into a treatment liquid delivery system of the resist stripping system, which forms a treatment liquid including an active species. The method further includes injecting vapor into the treatment liquid delivery system. The vapor is injected into the treatment liquid or the treatment liquid is injected into the vapor. Treatment liquid is dispensed from the dispensing device onto the substrate. At least two of the temperature control operating variables are adjusted in response to at least two metrology data values.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: December 6, 2016
    Assignee: Tokyo Electron Limited
    Inventors: Ian J. Brown, Wallace P. Printz
  • Patent number: 9492852
    Abstract: A method for cleaning semiconductor substrate using ultra/mega sonic device comprising holding a semiconductor substrate by using a chuck, positioning a ultra/mega sonic device adjacent to the semiconductor substrate, injecting chemical liquid on the semiconductor substrate and gap between the semiconductor substrate and the ultra/mega sonic device, changing gap between the semiconductor substrate and the ultra/mega sonic device for each rotation of the chuck during the cleaning process by turn the semiconductor substrate or the ultra/mega sonic device clockwise or counter clockwise.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: November 15, 2016
    Assignee: ACM Research (Shanghai) Inc.
    Inventors: Jian Wang, Sunny Voha Nuch, Liangzhi Xie, Junping Wu, Zhaowei Jia, Yunwen Huang, Zhifeng Gao, Yue Ma, Hui Wang
  • Patent number: 9490159
    Abstract: A method of manufacturing a semiconductor device includes forming isolation layers in a first direction at trenches at isolation regions defined at a semiconductor substrate and forming gate lines in a second direction crossing the first direction over the isolation layers and active regions defined between the isolation layers, performing a dry-etch process to remove the isolation layers, and forming an insulating layer over the semiconductor substrate to form a first air gap extending in the first direction in the trenches and a second air gap extending in the second direction between the gate lines.
    Type: Grant
    Filed: July 31, 2015
    Date of Patent: November 8, 2016
    Assignee: SK HYNIX INC.
    Inventors: Seung Cheol Lee, Yang Bok Lee
  • Patent number: 9463494
    Abstract: A cleaning device of a porous plate for nuclear power including: cleaning tanks (72, 73) that is capable of storing a cleaning liquid therein and is capable of housing the porous plate (43) in an upright state; a rotation device (84) that is capable of rotating the porous plate (43) within the respective cleaning tanks (72, 73); and an ultrasonic wave oscillation device (111) that irradiates the porous plate (43) within the cleaning tanks (72, 73) with ultrasonic wave. Thus, it is possible to efficiently remove the adhered foreign substances.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: October 11, 2016
    Assignee: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Yoichi Ishigami, Masato Yamasaki, Yoshitake Kasubata, Yuichi Sasaki
  • Patent number: 9465295
    Abstract: According to one embodiment, first a guide pattern is formed above an object to processing, and then surface modification is performed on the guide pattern. Then a solution including a block copolymer is coated over the object to processing having the guide pattern formed thereon, and the block copolymer is made to phase separate over the object to processing. Subsequently, one component of the phase-separated block copolymer is removed by development. And with the guide pattern coated with other component of the block copolymer as a mask, the object to processing is patterned.
    Type: Grant
    Filed: February 28, 2014
    Date of Patent: October 11, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Matsunaga, Masashi Terao, Eiji Yoneda
  • Patent number: 9412627
    Abstract: A surface of a substrate can be dried cleanly after liquid-processed by a liquid processing method and a liquid processing apparatus. The liquid processing method includes forming a liquid film of a rinse solution on an entire surface of a substrate having thereon a hydrophobic region by supplying, onto a central portion of the surface of the substrate, the rinse solution for rinsing a chemical liquid supplied on the substrate at a first flow rate while rotating the substrate at a first rotation speed; forming a stripe-shaped flow of the rinse solution on the surface of the substrate by breaking the liquid film formed on the entire surface of the substrate; and moving a discharge unit configured to supply the rinse solution toward a periphery of the substrate until the stripe-shaped flow of the rinse solution is moved outside the surface of the substrate.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: August 9, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Jun Nonaka
  • Patent number: 9399270
    Abstract: The invention relates to an optimized laser cutting method for cutting a part from a material by means of a cutting system comprising: a laser source for producing a laser beam having a certain power; and a cutting head comprising an end nozzle for passage of a cutting laser beam, said method being characterized in that it comprises a step of determining the cutting power Pd such that: Pd=Max(Popt;?e) where Max is the mathematical operator of the maximum, Popt is an optimal power of the laser beam of the cutting system, which is predetermined in accordance with the part to be cut, and/or with cutting parameters and/or with system parameters, to minimize the mass defect per unit length of the part when the part is being cut, ? is a leading coefficient representing the number of kW required for cutting the part per mm of the thickness of the part, and e is the thickness of the part in mm.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: July 26, 2016
    Assignees: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES, Institut de Radioprotection et de Sûreté Nucléaire
    Inventors: Christophe Chagnot, Gaëtan Canneau, Guy Pilot, Sylvain Fauvel
  • Patent number: 9358662
    Abstract: An apparatus for processing a substrate is disclosed. The apparatus includes a polishing section configured to polish a substrate, a transfer mechanism configured to transfer the substrate, and a cleaning section configured to clean and dry the polished substrate. The cleaning section has plural cleaning lines for cleaning plural substrates. The plural cleaning lines have plural cleaning modules and plural transfer robots for transferring the substrates.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: June 7, 2016
    Assignee: EBARA CORPORATION
    Inventors: Mitsuru Miyazaki, Seiji Katsuoka, Naoki Matsuda, Junji Kunisawa, Kenichi Kobayashi, Hiroshi Sotozaki, Hiroyuki Shinozaki, Osamu Nabeya, Shinya Morisawa, Takahiro Ogawa, Natsuki Makino
  • Patent number: 9358588
    Abstract: The present invention provides a substrate cleaning method capable of removing particles from the entire surface of a substrate to be processed at a high removing efficiency. In the substrate cleaning method according to the present invention, a substrate to be processed W is immersed in a cleaning liquid in a cleaning tank 12. Then, ultrasonic waves are generated in the cleaning liquid contained in the cleaning tank 12, so that the substrate to be processed W is subjected to an ultrasonic cleaning process. While the substrate to be processed is being cleaned, a dissolved gas concentration of a gas dissolved in the cleaning liquid contained in the cleaning tank is changed.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: June 7, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tsukasa Watanabe, Naoki Shindo, Takahiro Furukawa, Yuji Kamikawa
  • Patent number: 9352417
    Abstract: A substrate is diced using a program-controlled pulsed laser beam apparatus having an associated memory for storing a laser cutting strategy file. The file contains selected combinations of pulse rate ?t, pulse energy density E and pulse spatial overlap to machine a single layer or different types of material in different layers of the substrate while restricting damage to the layers and maximizing machining rate to produce die having predetermined die strength and yield. The file also contains data relating to the number of scans necessary using a selected combination to cut through a corresponding layer. The substrate is diced using the selected combinations. Gas handling equipment for inert or active gas may be provided for preventing or inducing chemical reactions at the substrate prior to, during or after dicing.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: May 31, 2016
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Adrian Boyle, Oonagh Meighan
  • Patent number: 9337066
    Abstract: The present disclosure relates to a wafer cleaning module for post CMP processes that reduces defects (e.g., watermarks, deposited particles) on a substrate, and an associated method. In some embodiments, the wafer cleaning module has a cleaning tank that may receive a semiconductor substrate within a cleaning medium. A pusher is may vertically move the semiconductor substrate from a starting position within the cleaning tank to an ending position. A position sensor may determine a position of the semiconductor substrate relative to a meniscus of the cleaning medium. Based upon the determined position, a control unit is may adjust a location of the starting position to a predetermined distance below the meniscus.
    Type: Grant
    Filed: November 5, 2013
    Date of Patent: May 10, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Ting Yen, Kao-Feng Liao, Ying-Ho Chen
  • Patent number: 9327322
    Abstract: Provided is a method for generating active species in a treatment liquid used in a surface treatment system, the surface treatment system comprising a processing chamber and a treatment liquid delivery system, the treatment liquid delivery system having a mixing zone and an active species generation zone. A substrate and a treatment liquid comprising one or more chemical solutions and/or one or more process gases are provided. Sonic energy is applied to the treatment liquid in a mixing zone and/or an active species generation zone using one or more sonic devices. One or more selected surface treatment operating variables are controlled to optimize generation of active species in the treatment liquid. The one or more selected surface treatment operating variables are adjusted in order to meet one or more surface treatment objectives.
    Type: Grant
    Filed: October 1, 2012
    Date of Patent: May 3, 2016
    Assignee: Tokyo Electron Limited
    Inventor: Ian J Brown
  • Patent number: 9296022
    Abstract: A sonication cleaning system is provided. The sonication cleaning system includes a cleaning tank configured to contain a liquid and a flow control system configured to cause a gradient cross flow of the liquid through the cleaning tank. The system further includes a sonication generator configured to agitate the liquid in the cleaning tank and a controller configured to vary a power applied to the sonication generator to agitate the liquid in the cleaning tank based on an oscillation position of a workpiece within the cleaning tank.
    Type: Grant
    Filed: October 18, 2013
    Date of Patent: March 29, 2016
    Assignee: WD Media, LLC
    Inventors: Chaoyuan C. Chen, Bing-Shiuan Chang
  • Patent number: 9276153
    Abstract: A solar cell wafer having a porous layer on a surface of a semiconductor wafer typified by a silicon wafer, which can further reduce reflection loss of light at the surface. A solar cell wafer 100 of the present invention has a porous layer 11 having a pore diameter of 10 nm or more and 45 nm or less, on at least one surface 10A of a semiconductor wafer 10, and the layer thickness of the porous layer 11 is more than 50 nm and 450 nm or less.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: March 1, 2016
    Assignee: SUMCO Corporation
    Inventor: Shigeru Okuuchi
  • Patent number: 9275850
    Abstract: A cleaning solution and method for removing submicron particles from the surface of an electronic substrate such as a semiconductor wafer. The cleaning solution comprises a polycarboxylate polymer, a base and water. The method comprises the step of contacting a surface of the substrate with a cleaning solution comprised of the polycarboxylate polymer. Additional optional steps in the method include applying acoustic energy to the cleaning solution and/or rinsing the surface with a rinsing solution with or without the application of acoustic energy to the rinsing solution.
    Type: Grant
    Filed: July 29, 2013
    Date of Patent: March 1, 2016
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 9240335
    Abstract: The object of the present invention is to provide a wafer cleaning apparatus that reduces the amount of dissolved oxygen, without using hydrogen peroxide, to be able to reduce the deformation, etc. of a wafer and to reduce silicon consumption and a wafer cleaning method using the same.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: January 19, 2016
    Assignee: APET
    Inventors: Robert Henry Pagliaro, Sung Ho Hong, Jin Tae Kim, Deok Ho Kim
  • Patent number: 9236242
    Abstract: A substrate can be appropriately oxidized, while oxidation of the substrate can be suppressed. The present invention includes a step of generating mixed plasma by causing a mixed gas of hydrogen (H2) gas and oxygen (O2) or oxygen-containing gas supplied to a processing chamber to form a plasma discharge, and processing the starting substrate by the mixed plasma; and a step of generating hydrogen plasma by causing hydrogen (H2) gas supplied to the processing chamber to form a plasma discharge, and processing the substrate by the hydrogen plasma.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: January 12, 2016
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Tatsushi Ueda, Tadashi Terasaki, Unryu Ogawa, Akito Hirano
  • Patent number: 9200101
    Abstract: An oxo-nitrogenated complex of lanthanides having general formula (I) or (II). Said oxo-nitrogenated complex of lanthanides having general formula (I) or (II) can be advantageously used in a catalytic system for the (co)polymerization of conjugated dienes.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: December 1, 2015
    Assignee: Versalis S.P.A.
    Inventors: Giovanni Ricci, Anna Sommazzi, Giuseppe Leone, Aldo Boglia, Francesco Masi
  • Patent number: 9190301
    Abstract: A wafer separating apparatus can separate a plurality of wafers bonded to a slicing base with an adhesive from the slicing base. The apparatus includes: a water tank configured to store therein water; a retainer configured to retain the slicing base; a first nozzle configured to apply a jet of water to side of a wafer of the wafers; and a tray configured to contain a wafer separated from the slicing base, wherein the tray is disposed inside the water tank.
    Type: Grant
    Filed: October 16, 2013
    Date of Patent: November 17, 2015
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Michirou Yoshino, Kojiro Nakamura, Toru Furushige
  • Patent number: 9171754
    Abstract: A method includes providing a semiconductor structure. The semiconductor structure includes a substrate having a frontside and a backside, an electrically conductive feature including copper provided at the frontside of the substrate and a low-k interlayer dielectric provided over the electrically conductive feature. A portion of the interlayer dielectric is etched. In the etch process, a surface of the electrically conductive feature is exposed. A degas process is performed, wherein the semiconductor structure is exposed to a first gas, and wherein the semiconductor structure is heated from the backside and from the frontside. A preclean process may be performed. The preclean process may include a first phase wherein the semiconductor structure is exposed to a substantially non-ionized second gas and a second phase wherein the semiconductor structure is exposed to a plasma created from the second gas.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: October 27, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Frank Koschinsky, Bernd Hintze, Oliver Witnik
  • Patent number: 9165800
    Abstract: Disclosed is a liquid processing method which includes holding the substrate by a holding part, rotating the substrate held by the holding part through a rotation driving part, and supplying a chemical liquid to a holding part-side surface of the substrate by a chemical liquid supply part. After the supply of the chemical liquid, rinsing liquid droplets are generated and supplied between the holding part and the substrate by supplying gas toward the holding part-side surface of the substrate from a gas supply part and, at the same time, supplying a rinsing liquid toward the holding part-side surface of the substrate from a rinsing liquid supply part. After the supply of the rinsing liquid droplets, the gas supply is halted and a rinsing liquid is additionally supplied to the holding part-side surface of the substrate from the rinsing liquid supply part.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: October 20, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Hiromitsu Namba
  • Patent number: 9138785
    Abstract: A cleaning and inspection system includes a cleaning chamber and retaining structure disposed within the cleaning chamber and configured to secure an article to be cleaned within the cleaning chamber. The cleaning and inspection system also includes a gas distributor disposed within the cleaning chamber and configured to distribute a turbulent flow of gas into the cleaning chamber that facilitates removal of foreign particles from a surface of the article. Further, the system includes a particle collection surface positioned to collect foreign particles removed from the surface of the article.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: September 22, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Lun Lu, Sheng-Chi Chin
  • Patent number: 9100108
    Abstract: Various methods and systems are provided for time domain coexistence of RF signals. In one example, among others, a method includes obtaining access to a WLAN channel during a free period of a coexisting cellular connection, providing a RDG to allow another device to transmit for a duration corresponding to at least a portion of a TXOP, and receiving a transmission during the duration. In another example, a method includes obtaining access to a WLAN channel during a transmission period of a coexisting cellular connection and providing a protection frame to defer transmissions from another device for a duration corresponding to at least a portion of a TXOP. In another example, a method includes determining a shift of a BT transaction based at least in part upon a schedule of cellular communications and shifting at least a portion of the BT transaction based upon the determined shift.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: August 4, 2015
    Assignee: Broadcom Corporation
    Inventors: Sudhirkumar Prajapati, Payel Banerjee, Asish Makkattil Ratnan, Nethi Kashi Viswanath, Sachin Gupta, Sanjay Saha, Neeraj Poojary, Yury Gonikberg, Mark Gonikberg, Matthew Fischer, Kamesh Medapalli, Florin Baboescu, Steven Hall, Shawn Ding, Prasanna Desai, Knut Terje Hermod Odman
  • Patent number: 9087671
    Abstract: An inductively coupled plasma source having multiple gases in the plasma chamber provides multiple ion species to a focusing column. A mass filter allows for selection of a specific ion species and rapid changing from one species to another.
    Type: Grant
    Filed: September 2, 2014
    Date of Patent: July 21, 2015
    Assignee: FEI COMPANY
    Inventors: Anthony Graupera, Charles Otis
  • Patent number: 9079228
    Abstract: A method for cleaning metallic contaminants from an upper electrode used in a plasma chamber. The method comprises a step of soaking the upper electrode in a cleaning solution of concentrated ammonium hydroxide, hydrogen peroxide and water. The cleaning solution is free of hydrofluoric acid and hydrochloric acid. The method further comprises an optional step of soaking the upper electrode in dilute nitric acid and rinsing the cleaned upper electrode.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: July 14, 2015
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Armen Avoyan, Shashank C. Deshmukh, David Carman
  • Patent number: 9070722
    Abstract: The present invention is directed to sonic-assisted systems mid methods of processing of substrates utilizing a sonic-treated liquid. In one embodiment, the sonic-treated liquid can be created by subjecting a desired processing liquid to sonic energy generated by a first sonic energy source prior to being applied So the substrate, The sonic-treated liquid is applied to the substrate where a second source of sonic energy applies sonic energy to the substrate. The sonic-treated liquid can be used as the coupling fluid between the second source of sonic energy and the substrate.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: June 30, 2015
    Inventor: Yan Fan
  • Patent number: 9048088
    Abstract: This invention pertains to fabrication of devices. One embodiment is a method of substrate cleaning and electroless deposition of a cap layer for an integrated circuit. The method is performed on a substrate having a surface comprising a metal and dielectric damascene metallization layer. The method comprises exposing the surface of the substrate to a cleaning solution sufficient to clean the surface of the substrate and exposing the surface of the substrate to an electroless deposition solution sufficient to deposit the cap layer. Other embodiments of the present invention include solutions to clean the substrate and solutions to accomplish electroless deposition.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: June 2, 2015
    Assignee: Lam Research Corporation
    Inventors: Artur Kolics, Nanhai Li
  • Patent number: 9044794
    Abstract: A cleaning fluid including dispersed gas avoids using ultrasonic energy to induce cavitation by subjecting a liquid containing dissolved gas to a pressure reduction in a bubble machine, to generate a gas/liquid dispersion. The cleaning fluid can be used to clean articles such as semiconductor wafers using a device that includes a holder and a vibrator for supplying ultrasonic or megasonic energy to the article.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: June 2, 2015
    Assignee: LAM Research AG
    Inventors: Frank Ludwig Holsteyns, Alexander Lippert, Thomas Wirnsberger
  • Publication number: 20150144595
    Abstract: A gas cluster irradiation mechanism includes at least one nozzle unit having a plurality of gas injection nozzles, and a gas supply unit for supplying the gas to the nozzle unit. The plurality of the gas injection nozzles is set such that when the gas is supplied from the gas injection nozzles at a preset flow rate a pressure in the processing chamber remains below a limit at which the gas cluster begins to be destroyed. Further, the gas injection nozzles are arranged with a preset interval between neighboring gas injection nozzle such that respective areas in which residual gas from the neighboring gas injection nozzles spreads do not overlap with each other, the residual gas being part of the gas injected from the gas injection nozzles and not contributing to generation of the gas cluster.
    Type: Application
    Filed: May 22, 2013
    Publication date: May 28, 2015
    Applicant: Tokyo Electron Limited
    Inventors: Kensuke Inai, Kazuya Dobashi
  • Publication number: 20150128992
    Abstract: Some embodiments include methods of removing particles from over surfaces of semiconductor substrates. Liquid may be flowed across the surfaces and the particles. While the liquid is flowing, electrophoresis and/or electroosmosis may be utilized to enhance transport of the particles from the surfaces and into the liquid. In some embodiments, temperature, pH and/or ionic strength within the liquid may be altered to assist in the removal of the particles from over the surfaces of the substrates.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 14, 2015
    Inventors: Joseph Neil Greeley, Dan Millward, Wayne Huang
  • Patent number: 9028709
    Abstract: A surface treatment composition of the present invention contains a first surfactant, a second surfactant, a basic compound, and water. The surface treatment composition has a pH of 8 or more. The second surfactant has a weight-average molecular weight one-half or less that of the first surfactant. The sum of the content of the first surfactant and the content of the second surfactant is 0.00001 to 0.1% by mass.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: May 12, 2015
    Assignee: Fujimi Incorporated
    Inventors: Kohsuke Tsuchiya, Hitoshi Morinaga, Noboru Yasufuku, Shuhei Takahashi, Tomohiro Imao
  • Patent number: 9005366
    Abstract: The present invention discloses a cleaning process, utilizing a gas flow to an interior of a hollow object in a fluid ambient. After capping the object to seal off the interior volume, gas is introduced to the object interior. The pressure is built up within the object interior, loosening the seal. The gas pressure is released, and the seal returns. The vibration caused by the cycling of gas pressure can be used to perform cleaning of particles adhering to the object. The cleaning process can be used in a combinatorial processing system, enabling in-situ cleaning of process reactor assemblies.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: April 14, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Edwin Adhiprakasha
  • Patent number: 9005367
    Abstract: A liquid composition comprising (A) at least one polar organic solvent, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50° C. for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, (B) at least one quaternary ammonium hydroxide, and (C) at least one aromatic amine containing at least one primary amino group, a method for its preparation and a method for manufacturing electrical devices, employing the liquid composition as a resist stripping composition and its use for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventor: Andreas Klipp
  • Patent number: 8999068
    Abstract: Provided is a chamber cleaning method capable of efficiently removing a CF-based shoulder deposit containing Si and Al deposited on an outer periphery of an ESC. A mixed gas of an O2 gas and a F containing gas is supplied toward an outer periphery 24a of an ESC 24 at a pressure ranging from about 400 mTorr to about 800 mTorr; plasma generated from the mixed gas is irradiated onto the outer periphery 24a of the ESC 24; an O2 single gas as a mask gas is supplied to the top surface of ESC 24 except the outer periphery 24a; and the shoulder deposit 50 adhered to the outer periphery 24a is decomposed and removed while preventing the top surface of ESC 24 except the outer periphery 24a from being exposed to a F radical.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hidetoshi Hanaoka, Taichi Hirano, Takanori Mimura, Manabu Iwata, Taketoshi Okajo
  • Patent number: 8999069
    Abstract: A method for producing cleaning water for an electronic material, includes obtaining oxygen gas and argon gas from air with a PSA oxygen concentration apparatus, dissolving the oxygen gas and argon gas obtained from the PSA oxygen concentration apparatus in pure water or ultrapure water. A concentration of dissolved oxygen is in a range of 8 to 50 mg/L, and a content of dissolved argon gas is in a range of 2 to 50 volume % of a total amount of dissolved oxygen gas and the dissolved argon gas.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: April 7, 2015
    Assignee: Kurita Water Industries Ltd.
    Inventors: Junichi Ida, Hiroto Tokoshima
  • Publication number: 20150083160
    Abstract: An ultrasonic cleaning device including an ultrasonic transducer (13) for providing ultrasonic energy to a propagation liquid (15), an ultrasonic propagation tube (12) for flowing the propagation liquid provided with the ultrasonic energy by the ultrasonic transducer, a holding mechanism disposed below the ultrasonic propagation tube for holding an object to be cleaned (21), and a cleaning liquid supply mechanism for supplying a cleaning liquid to a cleaning surface of the object to be cleaned held by the holding mechanism, and the ultrasonic propagation tube (12) is disposed so that a side surface thereof may contact a liquid film (19) of the cleaning liquid formed on the cleaning surface by supplying the cleaning liquid to the cleaning surface by the cleaning liquid supply mechanism.
    Type: Application
    Filed: December 1, 2014
    Publication date: March 26, 2015
    Inventors: Kazunari SUZUKI, Ki HAN
  • Patent number: 8986460
    Abstract: Methods for cleaning a surface of a photomask and for increasing the useable lifetime of the photomask are disclosed. One method includes, a first wafer print processing using a photomask and a pellicle disposed across the photomask, and cleaning the photomask. The cleaning the photomask includes directing a laser beam through the pellicle toward the photomask, the laser beam having a wavelength that is substantially equal to a local maximum of an absorption spectrum of the photomask, heating the photomask with the laser beam, and transferring heat from the photomask to a contaminant disposed on the photomask, thereby thermally decomposing the contaminant.
    Type: Grant
    Filed: June 3, 2014
    Date of Patent: March 24, 2015
    Assignee: Rave, LLC
    Inventors: Jeffrey E. LeClaire, Kenneth G. Roessler, David Brinkley
  • Patent number: 8980812
    Abstract: There are provided a processing liquid for suppressing pattern collapse of a microstructure which includes at least one compound selected from the group consisting of an imidazolium halide containing an alkyl group having 12, 14 or 16 carbon atoms, a pyridinium halide containing an alkyl group having 14 or 16 carbon atoms and an ammonium halide containing an alkyl group having 16 or 18 carbon atoms, and water; and a method for producing a microstructure formed of silicon oxide using the processing liquid.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: March 17, 2015
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Hiroshi Matsunaga, Masaru Ohto
  • Patent number: 8960208
    Abstract: An ultrasonic cleaning device includes an ultrasonic transducer (13) for providing ultrasonic energy to a propagation liquid (15), an ultrasonic propagation tube (12) for flowing the propagation liquid provided with the ultrasonic energy by the ultrasonic transducer, a holding mechanism disposed below the ultrasonic propagation tube for holding an object to be cleaned (21), and a cleaning liquid supply mechanism for supplying a cleaning liquid to a cleaning surface of the object to be cleaned held by the holding mechanism. The ultrasonic propagation tube (12) is disposed so that a side surface thereof may contact a liquid film (19) of the cleaning liquid formed on the cleaning surface by supplying the cleaning liquid to the cleaning surface by the cleaning liquid supply mechanism.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: February 24, 2015
    Assignee: Kaijo Corporation
    Inventors: Kazunari Suzuki, Ki Han
  • Patent number: 8957564
    Abstract: Megasonic cleaning systems and methods of fabricating and using the same are provided. In one embodiment, the system comprises a plurality of Micro-Electromechanical System (MEMS) transducers, each transducer including a movable membrane with a membrane electrode coupled to a first potential disposed above and spaced apart from an upper surface of a die including a cavity electrode coupled to a second potential, the membrane including multiple layers including a polysilicon layer between a top silicon nitride layer and a bottom silicon nitride layer, and the membrane electrode includes the polysilicon layer; a chuck on which a target workpiece is positioned; and a fluid to couple sonic energy from the plurality of MEMS transducers to the target workpiece. Other embodiments are also provided.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: February 17, 2015
    Assignee: Silicon Light Machines Corporation
    Inventors: Toshio Hiroe, Zarem Harold, Alexander Payne, James Hunter
  • Patent number: 8957006
    Abstract: A chemical solution for use in cleaning a patterned substrate includes water, from approximate 0.01 to 99.98 percent by weight; hydrogen peroxide, from 0 to 30 percent by weight; a pH buffering agent, from approximate 0.01 to 50 percent by weight; a metal chelating agent, from approximate 0 to 10 percent by weight; and a compound for lowering a surface tension of the combination of water, hydrogen peroxide, pH buffering agent, and metal chelating agent. Examples of the compound include an organic solvent, from approximate 0 to 95 percent by weight, or a non-ionic surfactant agent, from approximate 0 to 2 percent by weight.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yuan Ting, Jeng-Shiou Chen
  • Patent number: 8957005
    Abstract: A silicon wafer cleaning agent includes at least a water-based cleaning liquid, and a water-repellent cleaning liquid for providing water-repellent to an uneven pattern at least at recessed portions during a cleaning process. The water-repellent cleaning liquid is a liquid composed of a water-repellent compound containing a reactive moiety which is chemically bondable to Si in the silicon wafer, and a hydrophobic group, or is a liquid wherein 0.1 mass % or more of the water-repellent compound relative to the total quantity of 100 mass % of the water-repellent cleaning liquid and an organic solvent are mixed and contained therein. A cleaning process wherein a pattern collapse is easily induced can be improved by using the cleaning agent.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: February 17, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Soichi Kumon, Masanori Saito, Takashi Saio, Hidehisa Nanai, Yoshinori Akamatsu