Semiconductor Cleaning Patents (Class 134/1.3)
  • Patent number: 8956884
    Abstract: A non-abrading method to facilitate bonding of semiconductor components, such as silicon wafers, that have micro structural defects in a bonding interface surface. In a preferred method, micro structural defects are removed by forming an oxide layer on the bonding interface surface to a depth below the level of the defect, and then removing the oxide layer to expose a satisfactory surface for bonding, thereby increasing line yield and reducing scrap triggers in fabrication facilities.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: February 17, 2015
    Assignee: DunAn Microstaq, Inc.
    Inventor: Parthiban Arunasalam
  • Patent number: 8951354
    Abstract: Megasonic cleaning systems and methods of using megasonic pressure waves to impart cavitation energy proximate a surface of a microelectronic substrate are disclosed herein. In one embodiment, a megasonic cleaning system includes a process tank for containing a liquid, a support element for carrying a substrate submerged in the liquid, and first and second transducers positioned in the tank. The first transducer is further positioned and/or operated to initiate cavitation events in a bulk portion of the liquid proximate a surface of the substrate. The second transducer is further positioned and/or operated to control an interface of fluid friction between the substrate and the bulk portion of the liquid.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: February 10, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 8951950
    Abstract: Al post-etch residue removal composition doped with an alkanoic acid of the formula R—COOH, where R can be a linear or branched alkyl group in the form of CnH2n+1, where n is from 4 to 19, simultaneously passivates exposed Al surfaces while removing post-etch residues.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 10, 2015
    Inventor: Chia-Yin Joyce Wei
  • Patent number: 8945311
    Abstract: The present invention provides a method for cleansing a glass substrate of a TFT-LCD, includes the following steps: providing an ultrasonic cleansing machine, a glass substrate in-feeding conveyor, and a glass substrate out-feeding conveyor, wherein the ultrasonic cleansing machine includes a cleansing tank, a cleansing liquid contained in the cleansing tank, and first and second ultrasonic frequency generators arranged in the cleansing tank and having different frequencies; conveying a glass substrate with the glass substrate in-feeding conveyor into the cleansing tank; immersing the glass substrate in the cleansing liquid of the cleansing tank; generating ultrasonic waves of different frequencies with the first and second ultrasonic frequency generators to be applied to the cleansing liquid to effect ultrasonic cleansing of the glass substrate; and conveying the cleansed glass substrate out of the cleansing tank with the glass substrate out-feeding conveyor.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: February 3, 2015
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Hao Kou
  • Patent number: 8932406
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 13, 2015
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, Jr.
  • Patent number: 8927476
    Abstract: Aqueous alkaline composition free from organic solvents and metal ion-free silicates, the said compositions comprising (A) a thioamino acid having at least one primary amino group and at least one mercapto group, (B) a quaternary ammonium hydroxide, (C) a chelating and/or corrosion inhibiting agent selected from the group consisting of aliphatic and cycloaliphatic amines having at least two primary amino groups, and aliphatic and cycloaliphatic amines having at least one hydroxy group, (D) a nonionic surfactant selected from the group of acetylenic alcohols, alkyloxylated acetylenic alcohols and alkyloxylated sorbitan monocarboxylic acid mono esters; the use of the alkaline composition for the processing of substrates useful for fabricating electrical and optical devices; and a method for processing substrates useful for fabricating electrical and optical devices making use of the said aqueous alkaline composition.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: January 6, 2015
    Assignee: BASF SE
    Inventors: Raimund Mellies, Andreas Klipp
  • Patent number: 8926762
    Abstract: Methods and apparatus for a movable megasonic wafer probe. A method is disclosed including positioning a movable probe on a wafer surface, the movable probe having an open bottom portion that exposes a portion of the wafer surface; applying a liquid onto the wafer surface through a bottom portion of the movable probe; and moving the movable probe at a predetermined scan speed to traverse the wafer surface, applying the liquid to the wafer surface while moving over the wafer surface. In additional embodiments the method includes providing a transducer for applying megasonic energy to the wafer surface. Apparatus embodiments are disclosed including the movable megasonic wafer probe.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Hsueh Chang Chien, Shao-Yen Ku, Ming-Hsi Yeh, Chi-Ming Yang, Chin-Hsiang Lin
  • Patent number: 8926758
    Abstract: A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: January 6, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Indranil De, Anh Duong
  • Patent number: 8921296
    Abstract: Methods and systems for cleaning corrosion product of a metallic capping layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: December 30, 2014
    Assignee: Lam Research Corporation
    Inventor: Artur Kolics
  • Patent number: 8920567
    Abstract: A post metal chemical-mechanical planarization (CMP) cleaning process for advanced interconnect technology is provided. The process, which follows CMP, combines an acidic clean and a basic clean in sequence. The process can achieve a more than 60% reduction in CMP defects, such as polish residues, foreign materials, slurry abrasives, scratches, and hollow metal, relative to an all-basic clean process. The process also eliminates the circular ring defects that occur intermittently during roller brush cleans within a roller brush clean module.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: December 30, 2014
    Assignee: International Business Machines Corporation
    Inventors: Vamsi Devarapalli, Colin J. Goyette, Michael R. Kennett, Mahmoud Khojasteh, Qinghuang Lin, James J. Steffes, Adam D. Ticknor, Wei-tsu Tseng
  • Publication number: 20140373869
    Abstract: A method for removing solder balls (103) from a chip (102) comprises: immersing a chip (102) provided with solder balls (103) in a corrosive solution, the corrosive solution being prepared with a nitric acid solution having a concentration of 70% and deionized water at a volume ratio of 1:1 (301); taking out the chip (102) for rinsing (302); and placing the chip (102) into a container filled with water for ultrasonic oscillation cleaning (303). The corrosive solution prepared with a nitric acid solution having a concentration of 70% and deionized water at a volume ratio of 1:1 can completely remove the solder balls (103) from the surface of the chip (102) without affecting pads (201).
    Type: Application
    Filed: November 8, 2012
    Publication date: December 25, 2014
    Inventors: Jincheng Wang, Wei Zhang
  • Patent number: 8911558
    Abstract: A post-W CMP cleaning solution consists of carboxylic acid and deionized water. The carboxylic acid may be selected from the group consisting of (1) monocarboxylic acids; (2) dicarboxylic acids; (3) tricarboxylic acids; (4) polycarboxylic acids; (5) hydroxycarboxylic acids; (6) salts of the above-described carboxylic acids; and (7) any combination thereof. The post-W CMP cleaning solution can work well without adding any other chemical additives such as surfactants, corrosion inhibitors, pH adjusting agents or chelating agents.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 16, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Hongqi Li, Anurag Jindal, Jin Lu
  • Patent number: 8912134
    Abstract: A method of cleaning copper material surfaces in ultra large scale integrated circuits after polishing, the method including: a) mixing and stirring between 1 and 4 wt. % of a surfactant, between 0.5 and 3 wt. % of a chelating agent, between 0.1 and 5 wt. % of a corrosion inhibitor, and deionized water, to yield a water soluble cleaning solution with pH value of between 7.4 and 8.2; and b) washing the copper material surfaces using the cleaning solution after alkaline chemical-mechanical polishing under following conditions: between 2000 and 3000 Pa of pressure; between 1000 and 5000 mL/min of flow rate; and at least between 0.5 and 2 min of washing time.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: December 16, 2014
    Assignee: Hebei University of Technology
    Inventors: Yuling Liu, Xiaoyan Liu, Na Liu, Yangang He
  • Patent number: 8906838
    Abstract: Cleaning compositions suitable for cleaning microelectronic structures having silicon dioxide, low-k or high-k di-electrics and copper or aluminum metallizations contain a polar organic solvent selected from amides, sulfones, sulfolenes, selenones and saturated alcohols and a strong alkaline base.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: December 9, 2014
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Chien-Pin Sherman Hsu
  • Patent number: 8906163
    Abstract: A method of operating one or more back end circuits of a plasma processing system, comprising: prior to a front end module receiving one or more wafers to be processed, receiving preliminary data at a back end circuit, wherein the preliminary data indicates a recipe and a predetermined number, the predetermined number indicating a number of wafers to be processed; determining whether a plasma processing chamber is ready for processing; and if the chamber is ready for processing and via the back end circuit, selecting a load lock, based on the predetermined number, instructing the front end module to pull the one or more wafers into the load lock, enabling the chamber to process a first wafer of the one or more wafers according to the recipe, and subsequent to the processing of the first wafer, instructing the front end module to remove the first wafer from the chamber.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Chung-Ho Huang, Cheng-Chieh Lin
  • Patent number: 8906164
    Abstract: Methods for stabilizing a ceramic contact surface of an electrostatic chuck, wherein the electrostatic chuck can be disposed within a reaction chamber of a semiconductor wafer processing assembly including a radio frequency source and a coolant gas supply are described herein. The method may include: clamping electrostatically a conditioning wafer to the ceramic contact surface of the electrostatic chuck; and cycling an output power of the radio frequency source and an output pressure of the coolant gas supply for multiple hot/cold cycles. Each of the hot/cold cycles includes a hot abrasion state and a cold abrasion state. At the hot abrasion state, the output power of the radio frequency source is relatively high and the output pressure of the coolant gas supply is relatively low to yield a relatively hot conditioning wafer.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Chris Kimball, Tom Stevenson, Peter Muraoka
  • Patent number: 8900371
    Abstract: The present invention provides a cleaning agent for a substrate and a cleaning method thereof, which can effectively remove fine particles (particles) present on a surface of substrate or impurities derived from various kinds of metals (metallic impurities), without causing roughness surface of a substrate, in particular, a semiconductor substrate, and without causing corrosion or oxidation of metal wirings, in particular, copper wirings, provided on a surface of substrate, and can further remove at the same time a carbon defect present on a surface of substrate, without removing a metal corrosion inhibitor—Cu film, in particular, a Cu-BTA film.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: December 2, 2014
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Hironori Mizuta, Masahiko Kakizawa, Ichiro Hayashida
  • Patent number: 8900472
    Abstract: A liquid agent for the surface treatment of monocrystalline wafers, which contains an alkaline etching agent and also at least one low-volatile organic compound. Systems of this type can be used both for the cleaning, damage etch and texturing of wafer surfaces in a single etching step and exclusively for the texturing of silicon wafers with different surface quality, whether it now be wire-sawn wafers with high surface damage or chemically polished surfaces with minimum damage density.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: December 2, 2014
    Assignee: Fraunhofer-Gesellschaft zur Föerderung der angewandten Forschung E.V.
    Inventors: Kuno Mayer, Mark Schumann, Daniel Kray, Teresa Orellana Peres, Jochen Rentsch, Martin Zimmer, Elias Kirchgässner, Eva Zimmer, Daniel Biro, Arpad Mihai Rostas, Filip Granek
  • Patent number: 8889609
    Abstract: A water-rich hydroxylamine formulation for photoresist and post-etch/post-ash residue removal in applications wherein a semiconductor substrate comprises aluminum. The cleaning composition comprises from about 2 to about 15% by wt. of hydroxylamine; from about 50 to about 80% by wt. of water; from about 0.01 to about 5.0% by wt. of a corrosion inhibitor; from about 5 to about 45% by wt. of a component selected from the group consisting of: an alkanolamine having a pKa<9.0, a water-miscible solvent, and a mixture thereof. Employment of such composition exhibits efficient cleaning capability for Al substrates, minimal silicon etch while protecting aluminum for substrates comprising both materials.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: November 18, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Yi-Chia Lee, Wen Dar Liu, Machukar Bhaskara Rao, Gautam Banerjee
  • Patent number: 8883699
    Abstract: Disclosed herein is a resist stripping composition, which has an excellent ability of stripping a residual resist remaining after dry or wet etching at the tune of forming patterns in a process of manufacturing a flat panel display substrate.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: November 11, 2014
    Assignee: Dongwoo Fine-Chem Co., Ltd.
    Inventors: Jeong-Hyun Kim, Kyung-Jun Ko, Sung-Sik Kim, Yu-Jin Lee
  • Patent number: 8845812
    Abstract: Methods and apparatus are provided for cleaning a substrate (e.g., wafer) in the fabrication of semiconductor devices utilizing a composition of magnetic particles dispersed within a base fluid to remove contaminants from a surface of the substrate.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: September 30, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Steve Kramer, Gurtej Sandhu
  • Patent number: 8845816
    Abstract: Methods for reducing the contamination of a gas distribution plate are provided. In one embodiment, a method for processing a substrate includes transferring the substrate into a chamber, performing a treating process on the substrate, and providing a purge gas into the chamber before or after the treating process to pump out a residue gas relative to the treating process from the chamber. The treating process includes distributing a reactant gas into the chamber through a gas distribution plate.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: September 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Adauto Diaz, Andrew Nguyen, Benjamin Schwarz, Eu Jin Lim, Jared Ahmad Lee, James P. Cruse, Li Zhang, Scott M. Williams, Xiaoliang Zhuang, Zhuang Li
  • Publication number: 20140283873
    Abstract: Methods for cleaning a surface of a photomask and for increasing the useable lifetime of the photomask are disclosed. One method includes, a first wafer print processing using a photomask and a pellicle disposed across the photomask, and cleaning the photomask. The cleaning the photomask includes directing a laser beam through the pellicle toward the photomask, the laser beam having a wavelength that is substantially equal to a local maximum of an absorption spectrum of the photomask, heating the photomask with the laser beam, and transferring heat from the photomask to a contaminant disposed on the photomask, thereby thermally decomposing the contaminant.
    Type: Application
    Filed: June 3, 2014
    Publication date: September 25, 2014
    Inventors: Jeffrey E. LeClaire, Kenneth G. Roessler, David Brinkley
  • Publication number: 20140273454
    Abstract: A method for reducing contaminants in a semiconductor device is provided. The method includes cleaning the semiconductor substrate. The cleaning includes rotating the semiconductor substrate and dispersing an aerosol at a predetermined temperature to a surface of the semiconductor substrate or a layer formed on the substrate to be cleaned. The aerosol includes a chemical having a predetermined pressure and a gas having a predetermined flow rate.
    Type: Application
    Filed: July 15, 2013
    Publication date: September 18, 2014
    Inventors: Chien-Hua Huang, Tsung-Min Huang, Chung-Ju Lee
  • Patent number: 8828918
    Abstract: An object of the present invention is to provide a semiconductor surface treating agent composition, which can realize easy removing of an anti-reflection coating layer in a production process of a semiconductor device or the like at a low temperature in a short time, a method for treating a semiconductor surface using the same, and further a semiconductor surface treating agent composition, which can realize not only removing of both layer of an anti-reflection coating layer and a resist layer, but can realize even removing of a cured resist layer produced in an etching process, and a method for treating a semiconductor surface using the same. The semiconductor surface treating agent composition of the present invention is characterized by comprising a compound which generates a fluorine ion in water, a carbon radical generating agent, and water and optionally an organic solvent, and the method for treating a semiconductor surface of the present invention is characterized by using the composition.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: September 9, 2014
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Hironori Mizuta, Osamu Matsuda
  • Patent number: 8828143
    Abstract: A surface cleaning apparatus comprising a chamber, and a thermal transfer device. The chamber is capable of holding a semiconductor structure therein. The thermal transfer device is connected to the chamber. The thermal transfer device has a surface disposed inside the chamber for contacting the semiconducting structure and controlling a temperature of the semiconductor structure in contact with the surface. The thermal transfer device has a thermal control module connected to the surface for heating and cooling the surface to thermally cycle the surface. The thermal control module effects a substantially immediate thermal response of the surface when thermally recycling the surface.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: John P. Simons, Kenneth J. McCullough, Wayne M. Moreau, John M. Cotte, Keith R. Pope, Charles J. Taft, Dario L. Goldfarb
  • Patent number: 8828145
    Abstract: Apparatus and methods for removing particle contaminants from a surface of a substrate includes coating a layer of a viscoelastic material on the surface. The viscoelastic material is coated as a thin film and exhibits substantial liquid-like characteristic. An external force is applied to a first area of the surface coated with the viscoelastic material such that a second area of the surface coated with the viscoelastic material is not substantially subjected to the applied force. The force is applied for a time duration that is shorter than a intrinsic time of the viscoelastic material so as to access solid-like characteristic of the viscoelastic material. The viscoelastic material exhibiting solid-like characteristic interacts at least partially with at least some of the particle contaminants present on the surface.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: September 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Yizhak Sabba, Seokmin Yun, Mark Kawaguchi, Mark Wilcoxson, Dragan Podlesnik
  • Patent number: 8821735
    Abstract: An object of the invention is to effectively remove particles on the glass substrate surfaces, even in the case wherein abrasive particles having a small particle size is used in the polishing step of the glass substrate and a supersonic treatment is performed at a high frequency at the supersonic cleaning step after the polishing step. In a manufacturing method of a glass substrate for a magnetic disk comprising a polishing step for performing polishing of the glass substrate and a supersonic cleaning step for performing supersonic cleaning of the glass substrate after the polishing step, the polishing step uses abrasive particles having a particle size of 10 nm to 30 nm and a first supersonic cleaning is performed at a frequency of 300 kHz to 1,000 kHz to form secondary particles and then a second supersonic cleaning is performed at a frequency of 30 kHz to 100 kHz in the supersonic cleaning step.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventor: Yosuke Suzuki
  • Patent number: 8821644
    Abstract: A bevel/backside polymer removing method removes multi-layered bevel/backside polymers adhering to a bevel surface and a backside of a target substrate. The multi-layered bevel/backside polymers include an inorganic layer and an organic layer. The bevel/backside polymer removing method includes mechanically destroying the multi-layered bevel/backside polymers and heating residues of the multi-layered bevel/backside polymers mechanically destroyed.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: September 2, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Isamu Sakuragi, Akinori Kitamura, Tsutomu Hiroki, Takehiro Shindo
  • Patent number: 8815017
    Abstract: A method of manufacturing a semiconductor device includes: holding a semiconductor substrate with a surface inclined with respect to the vertical direction and the horizontal direction; and immersing the semiconductor substrate in a cleaning solution including an acid.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: August 26, 2014
    Assignee: Fujitsu Limited
    Inventors: Shirou Ozaki, Masayuki Takeda, Norikazu Nakamura, Junichi Kon
  • Patent number: 8809247
    Abstract: A cleaning composition which is capable of removing both organic soiling and particulate soiling adhered to a substrate for an electronic device with a high degree of cleanliness, and which also has minimal impact on the environment, as well as a method of cleaning a substrate for an electronic device. The present invention relates to a cleaning composition used for cleaning a substrate for an electronic device including a water-soluble salt (A) containing a transition metal, a chelating agent (B) and a peroxide (C), wherein the amount of the chelating agent (B) is not less than 0.5 molar equivalents relative to the amount of the water-soluble salt (A) containing a transition metal.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: August 19, 2014
    Assignee: LION Corporation
    Inventors: Makoto Hidaka, Taku Ogura
  • Patent number: 8802610
    Abstract: A method of cleaning a substrate having a metal layer including copper or a copper-containing alloy, the method including cleaning the substrate using a cleaning liquid that includes a mercapto compound represented by one or both of the following formulas (1) and (2), and a solvent containing water and a water-soluble organic solvent: in which R represents a substituent group; m is an integer of 1 to 3; and n is an integer of 0 to 3, when m is 2 or 3, R may be the same or different; HS—(CH2)x—OH??(2), in which x is an integer of no less than 3.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: August 12, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoya Kumagai, Takuya Ohhashi, Takahiro Eto, Daijiro Mori, Takayuki Haraguchi
  • Patent number: 8790470
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: July 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Taek Lim, Ha-Soo Hwang, Haldorai Yuvaraj, Jae-Mok Jung
  • Patent number: 8790465
    Abstract: One embodiment of the present invention is a method of fabricating an integrated circuit. The method includes providing a substrate having a metal and dielectric damascene metallization layer and depositing substantially on the metal a cap. After deposition of the cap, the substrate is cleaned with a solution comprising an amine to provide a pH for the cleaning solution of 7 to about 13. Another embodiment of the presented invention is a method of cleaning substrates. Still another embodiment of the present invention is a formulation for a cleaning solution.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: July 29, 2014
    Assignee: Lam Research Corporation
    Inventors: Artur Kolics, Shijian Li, Tiruchirapalli Arunagiri, William Thie
  • Patent number: 8777695
    Abstract: An ultrasonic cleaning apparatus according to the present invention comprises a plurality of cleaning tanks configured to store a cleaning liquid, an object-to-be-processed holder capable of being inserted into each cleaning tank, the object-to-be-processed holder being configured to hold an object to be processed and to immerse the object to be processed into the cleaning liquid, a vibrator disposed on each cleaning tank, a single ultrasonic oscillator configured to make each vibrator ultrasonically vibrate, an output switch interposed between the ultrasonic oscillator and the vibrator of each respective cleaning tank, the output switch being configured to switch the vibrator that is connected to the ultrasonic oscillator, and a control device configured to control the ultrasonic oscillator and the output switch, wherein the control device controls the ultrasonic oscillator and the output switch such that a timing at which the vibrator of one of the cleaning tanks is made to ultrasonically vibrate, and a tim
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: July 15, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Sato, Kazuyoshi Eshima, Hiromi Hara, Hirozumi Hoshino
  • Patent number: 8771427
    Abstract: A method for manufacturing integrated circuit devices. In one aspect, the invention may be a method of manufacturing integrated circuit devices comprising: supporting a semiconductor wafer in a substantially horizontal orientation; providing a transducer assembly comprising a probe having a forward portion, a rear portion and no more than one piezoelectric transducer element coupled to the rear portion; supporting the transducer assembly so that the forward portion is adjacent but spaced from a first surface of the semiconductor wafer; rotating the semiconductor wafer; applying a fluid to the first surface of the semiconductor wafer to form a film of the fluid between a portion of the forward portion and the first surface of the semiconductor wafer; and transmitting acoustical energy generated by the piezoelectric transducer element into the film of the fluid via the forward portion, the acoustical energy loosening particles from the first surface of the semiconductor wafer.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: July 8, 2014
    Inventor: Mario E. Bran
  • Patent number: 8765653
    Abstract: The present invention is a method of cleaning to removal residue in semiconductor manufacturing processing, comprising contacting a surface to be cleaned with an aqueous formulation having a polymer selected from the group consisting of acrylamido-methyl-propane sulfonate) polymers, acrylic acid-2-acrylamido-2-methylpropane sulfonic acid copolymer and mixtures thereof and a quaternary ammonium hydroxide having greater than 4 carbon atoms or choline hydroxide with a non-acetylinic surfactant. The present invention is also a post-CMP cleaning formulation having the components set forth in the method above.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: July 1, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Dnyanesh Chandrakant Tamboli, Madhukar Bhaskara Rao, Gautam Banerjee, Keith Randolph Fabregas
  • Patent number: 8764911
    Abstract: An ultrasonic wave generating apparatus includes: a generator including an electric power supply, a controller that generates a control signal, a liquid crystal display indicator, and a transducer drive circuit; and transducer portions. The generator includes: the controller having an internal memory or a port to which an external memory is inserted, and formed of a microcomputer to control the generator and the transducer portions; thyristor portions that are arranged respectively in the front and rear ends of the transducer portions, in which two thyristors are connected in series in the respective thyristor portions; snubber circuit portions that are connected in parallel with the respective thyristor portions; at least one transducer that is connected with the controller and is included in the transducer portions; and choke coils that are connected with one end of the respective transducers in the transducer portions.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: July 1, 2014
    Inventor: Won-churl Lee
  • Publication number: 20140174466
    Abstract: Various embodiments herein include at least one of systems, methods, and software to facilitate automatic solar power surface-cleaning Such embodiments include at least one automatic solar power surface-cleaning robot that uses no water or external power, continuously cleans the solar power surfaces and requires no maintenance or external power. The solar power surface-cleaning robot is easy to retrofit in an existing solar power generation plant. An automatic solar power surface-cleaner uses high-voltage AC electric fields to sweep particulates and debris as the robot traverses the surface to be cleaned. Photovoltaic solar cells supply the power for the robot. No external power is required. The robot clamps to the surface to be cleaned at the edges using motor driven rollers. Electronics inside the device generate high-voltage AC that is applied to conductors close to the surface to be cleaned.
    Type: Application
    Filed: March 3, 2014
    Publication date: June 26, 2014
    Applicant: Taft Instruments, Inc.
    Inventors: Scott Potter, Dia Potter
  • Publication number: 20140174465
    Abstract: A cleaning agent for a silicon wafer (a first cleaning agent) contains at least a water-based cleaning liquid and a water-repellent cleaning liquid for providing at least a recessed portion of an uneven pattern with water repellency during a cleaning process. The water-based cleaning liquid is a liquid in which a water-repellent compound having a reactive moiety chemically bondable to Si element in the silicon wafer and a hydrophobic group, and an organic solvent including at least an alcoholic solvent are mixed and contained. With this cleaning agent, the cleaning process which tends to induce a pattern collapse can be improved.
    Type: Application
    Filed: December 20, 2013
    Publication date: June 26, 2014
    Applicant: Central Glass Company, Limited
    Inventors: Soichi KUMON, Takashi SAIO, Shinobu ARATA, Hidehisa NANAI, Yoshinori AKAMATSU, Shigeo HAMAGUCHI, Kazuhiko MAEDA
  • Patent number: 8753896
    Abstract: A method of monitoring a surfactant in a microelectronic process is disclosed. Specifically, the monitoring of a surfactant occurs by studying the fluorescence or electromagnetic emission of a sample collected from a microelectronic process.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: June 17, 2014
    Assignee: Nalco Company
    Inventors: Brian V. Jenkins, John E. Hoots, Amy M. Tseng
  • Patent number: 8754021
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amine and ammonium-containing compounds, e.g., quaternary ammonium bases. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: June 17, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Jeffrey A. Barnes, Jun Liu, Peng Zhang
  • Patent number: 8747564
    Abstract: A residue-removing solution for removing residues after a dry process, which includes an amine salt of a monocarboxylic acid and/or a salt of a polycarboxylic acid that forms a 7- or more-membered ring chelate with copper, and water, the residue-removing solution containing aqueous solution (A) or (B) as described herein. Also disclosed is a method for removing residues present on a semiconductor substrate after dry etching and/or ashing. Further, a method for manufacturing semiconductor devices is further disclosed, which includes subjecting a semiconductor substrate having Cu as an interconnect material, and a low dielectric constant film as an interlayer dielectric material, to dry etching and/or ashing; and bringing the processed semiconductor substrate into contact with the above residue-removing solution.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: June 10, 2014
    Assignee: Daikin Industries, Ltd.
    Inventor: Shingo Nakamura
  • Patent number: 8741066
    Abstract: A process/method for cleaning wafers that eliminates and/or reduces pitting caused by standard clean 1 by performing a pre-etch and then passivating the wafer surface prior to the application of the standard clean 1. The process/method may be especially useful for advanced front end of line post-CPM cleaning. In one embodiment, the invention is a method of processing a substrate comprising: a) providing at least one substrate; b) etching a surface of the substrate by applying an etching solution; c) passivating the etched surface of the substrate by applying ozone; and d) cleaning the passivated surface of the substrate by applying an aqueous solution comprising ammonium hydroxide and hydrogen peroxide.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: June 3, 2014
    Inventors: Ismail Kashkoush, Thomas Nolan, Dennis Nemeth, Richard Novak
  • Patent number: 8741067
    Abstract: Methods for cleaning a surface of a photomask and for increasing the useable lifetime of the photomask are disclosed. One method includes, a first wafer print processing using a photomask and a pellicle disposed across the photomask, and cleaning the photomask. The cleaning the photomask includes directing a laser beam through the pellicle toward the photomask, the laser beam having a wavelength that is substantially equal to a local maximum of an absorption spectrum of the photomask, heating the photomask with the laser beam, and transferring heat from the photomask to a contaminant disposed on the photomask, thereby thermally decomposing the contaminant.
    Type: Grant
    Filed: November 11, 2013
    Date of Patent: June 3, 2014
    Assignee: Rave, LLC
    Inventors: Jeffrey E. LeClaire, Kenneth G. Roessler, David Brinkley
  • Publication number: 20140144462
    Abstract: Embodiments of the invention generally relate to a method of cleaning a substrate and a substrate processing apparatus that is configured to perform the method of cleaning the substrate. More specifically, embodiments of the present invention relate to a method of cleaning a substrate in a manner that reduces or eliminates the negative effects of line stiction between semiconductor device features. Other embodiments of the present invention relate to a substrate processing apparatus that allows for cleaning of the substrate in a manner that reduces or eliminates line stiction between semiconductor device features formed on the substrate.
    Type: Application
    Filed: November 12, 2013
    Publication date: May 29, 2014
    Inventors: Steven VERHAVERBEKE, Han-Wen CHEN, Roman GOUK
  • Publication number: 20140144463
    Abstract: Provided is a method for cleaning an on implanted resist layer or a substrate after an ashing process. A duty cycle for turning on and turning off flows of a treatment liquid using two or more nozzles is generated. The substrate is exposed to the treatment liquid comprising a first treatment chemical, the first treatment chemical with a first film thickness, temperature, total flow rate, and first composition. A portion of a surface of the substrate is concurrently irradiated with UV light while controlling the selected plurality of cleaning operating variables in order to achieve the two or more cleaning objectives.
    Type: Application
    Filed: November 27, 2013
    Publication date: May 29, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Ian J. Brown, Wallace P. Printz, Benjamen M. Rathsack
  • Patent number: 8734662
    Abstract: A method for manufacturing a semiconductor device includes forming a patterned photoresist layer over a substrate, performing a plasma ashing process to the patterned photoresist layer, thereby removing a portion of the patterned photoresist layer, exposing the patterned photoresist layer to broadband ultraviolet radiation and ozone, thereby removing other portions of the patterned photoresist layer, and performing a cleaning of the patterned photoresist layer after exposing the patterned photoresist layer to broadband ultraviolet radiation and ozone.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: May 27, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Rung Hsu, Sung Hsun Wu, Kuo Bin Huang
  • Publication number: 20140130825
    Abstract: Provided is a method and system for cleaning a substrate with a cleaning system comprising a pre-treatment system using an atomic oxygen generator. The substrate includes a layer to be cleaned and an underlying dielectric layer having a k-value. Pre-treatment gas comprising oxygen and an inert gas are delivered into an atomic oxygen generator, generating a process gas containing atomic oxygen. A portion of a surface of the substrate is exposed to the process gas while controlling two or more cleaning operating variables to ensure meeting two or more cleaning objectives and ensure completion of cleaning in the pre-treatment process time. In an embodiment, cleaning of the substrate in the pre-treatment process is set at less than 100 percent and a subsequent wet cleaning process is used to complete the substrate cleaning. In another embodiment, the pre-treatment system is configured to complete cleaning of the substrate.
    Type: Application
    Filed: September 18, 2013
    Publication date: May 15, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: IAN J. BROWN
  • Patent number: 8721798
    Abstract: Methods for processing substrates in twin chamber processing systems having first and second process chambers and shared processing resources are provided herein. In some embodiments, a method may include providing a substrate to the first process chamber of the twin chamber processing system, wherein the first process chamber has a first processing volume that is independent from a second processing volume of the second process chamber; providing one or more processing resources from the shared processing resources to only the first processing volume of the first process chamber; and performing a process on the substrate in the first process chamber.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: May 13, 2014
    Assignee: Applied Materials, Inc.
    Inventors: James P. Cruse, Dermot Cantwell, Ming Xu, Charles Hardy, Benjamin Schwarz, Kenneth S. Collins, Andrew Nguyen, Zhifeng Sui, Evans Lee