Gas Or Vapor Form Agent Condensed Or Absorbed On Work Patents (Class 134/11)
  • Patent number: 5935339
    Abstract: One embodiment of a decontamination device for a surface, such as a probe, and method thereof in accordance with the present invention includes a first electrode, a second electrode, and a transformer. The first electrode comprises a housing with an opening and one end of the second electrode is positioned in the opening. The second electrode has an elongated-shape which tapers to a point at the one end adjacent to the opening. The transformer is mounted in the housing and has first and second pairs of leads. One of the second pair of leads is coupled to the first electrode and the other of the second pair of leads is coupled to the second electrode. A surface to be cleaned is placed adjacent to the point and the device is turned on for a preset period of time to generate free radicals, such as ozone plasma, which remove debris build-up on the surface.
    Type: Grant
    Filed: December 13, 1996
    Date of Patent: August 10, 1999
    Assignee: Iowa State University
    Inventors: Eric Henderson, James Vesenka
  • Patent number: 5935340
    Abstract: The present invention provides systems, methods and apparatus for high temperature (at least about 500-800.degree. C.) processing of semiconductor wafers. The systems, methods and apparatus of the present invention allow multiple process steps to be performed in situ in the same chamber to reduce total processing time and to ensure high quality processing for high aspect ratio devices. Performing multiple process steps in the same chamber also increases the control of the process parameters and reduces device damage. In particular, the present invention can provide high temperature deposition, heating and efficient cleaning for forming dielectric films having thickness uniformity, good gap fill capability, high density, low moisture, and other desired characteristics.
    Type: Grant
    Filed: November 13, 1996
    Date of Patent: August 10, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Visweswaren Sivaramakrishnan, Srinivas Nemani, Ellie Yieh, Gary Fong
  • Patent number: 5935455
    Abstract: A method for excitation of a plasma, characterized in that it comprises the step of subjecting a gas to an electric field generated by an electrode system comprising n electrodes, n being an integer greater than or equal to 3, preferably between 3 and 30, each of the n electrodes being connected to one of the following AC voltages: ##EQU1## where: f is a frequency in the range of 10 to 10000 Hz, preferably 30 to 200 Hz, more preferably 50 to 60 Hz, U.sub.0 is a voltage in the range of 50 to 10000 V,at least one electrode being connected to U.sub.r, at least one electrode being connected to Us and at least one electrode being connected to U.sub.t. The invention also concerns an electrode system for carrying out the method.
    Type: Grant
    Filed: May 1, 1996
    Date of Patent: August 10, 1999
    Assignee: NKT Research Center A/S
    Inventor: Kristian Glejb.o slashed.l
  • Patent number: 5925577
    Abstract: A method of plasma etching photoresist and sidewall polymer with an etch gas mixture comprising a fluorine containing gas (CF.sub.4 or NF.sub.3) and H.sub.2 O demonstrating very aggressive ashrate of photoresist but maintains an exceptionally low etch rate for titanium nitride and other metals is provided. The very low TiN etch rate permits the inventive method to effectively breakdown sidewall polymer without removing any significant amount of these metals. The invention is particularly suited for stripping sidewall polymer from etched via holes and from etched metal lines. Vias fabricated with this technique exhibit exceptionally low resistance.
    Type: Grant
    Filed: October 3, 1997
    Date of Patent: July 20, 1999
    Assignee: Vlsi Technology, Inc.
    Inventor: Ramiro Solis
  • Patent number: 5926743
    Abstract: A method and apparatus for removing particles and residue that build up inside a substrate processing system during a substrate processing operation, without overetching system components, is described. One method includes the steps of: flowing an etchant gas comprising chlorine trifluoride (ClF.sub.3), diluted with an inert carrier gas, into a processing chamber after completion of the substrate processing operation. The parts of the system within the chamber with the greatest amount of build-up are preferentially heated to facilitate more extensive cleaning of those parts. Parts of the system within the chamber with less build up are protected from overetching by keeping them about 200.degree. C. cooler than the heavily-deposited parts. Heating the heavily-deposited chamber parts to a temperature of at least about 400.degree. C. allows using a lower concentration of etchant gas for the cleaning process than a lower temperature process would allow.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: July 20, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Kazuhiro Nishina, Steve Chen, Toshiaki Fujita
  • Patent number: 5919336
    Abstract: A method for treating the surface of a semiconductor layer includes the step of removing an oxide from the surface of a semiconductor layer by adding fluorine or fluoride to hydrogen radicals separately from plasma atmosphere and thereafter exposing the semiconductor layer to the mixed gas and hydrogen-terminating the surface.
    Type: Grant
    Filed: November 17, 1997
    Date of Patent: July 6, 1999
    Assignee: Fujitsu Limited
    Inventors: Jun Kikuchi, Shuzo Fujimura, Masao Iga
  • Patent number: 5916374
    Abstract: A cleaning method and related apparatus for cleaning semiconductor screening masks using an aqueous alkali detergent solution applied under high pressure simultaneously from both sides of the mask, followed by a drying step that uses air knives to blow off the mask surface any residual cleaner solution.
    Type: Grant
    Filed: February 9, 1998
    Date of Patent: June 29, 1999
    Assignee: International Business Machines Corporation
    Inventors: Jon A. Casey, Michael E. Cropp, Donald W. DiAngelo, John F. Harmuth, John U. Knickerbocker, David C. Long, Daniel S. Mackin, Glenn A. Pomerantz, Krishna G. Sachdev, David E. Speed, Candace A. Sullivan, Robert J. Sullivan, Bruce E. Tripp, James C. Utter
  • Patent number: 5914017
    Abstract: An electrical field between a positive anode and a negative target in a cavity and a magnetic field in the cavity produce electron flow from the target in a convoluted path for ionizing a gas such as oxygen flowing through the cavity. The ionized oxygen forms positive and negative oxygen ions which flow from the cavity to an aluminum oxide surface on a substrate. The aluminum oxide surface may contain hydrocarbon molecules which prevent a thin magnetizable layer from adhering uniformly on the aluminum oxide surface. The ionized oxygen molecules and atoms flow through the cavity at a reduced rate and react chemically with the hydrocarbon molecules to form water vapor and carbon monoxide and/or carbon dioxide gases. By removing the hydrocarbons from the aluminum oxide surface, the layer of the magnetizable material is deposited adheringly on the aluminum oxide surface. A neutral gas (e.g.
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: June 22, 1999
    Assignee: Sputtered Films, Inc.
    Inventor: Peter J. Clarke
  • Patent number: 5911833
    Abstract: A method for in-situ cleaning of a chuck that bears a semiconductor wafer in a semiconductor manufacturing machine maintains a processing chamber in a sealed condition with the chuck inside the chamber. A wafer bearing surface of the chuck is exposed upon determining that the chuck requires a cleaning. A cleaning gas is then injected into the chamber and RF power is applied to the chamber to create a plasma that cleans the wafer bearing surface. Since the processing chamber is maintained in a sealed condition during the in-situ cleaning of the chuck, the time required to clean the chuck and prepare the chamber for continued production runs is greatly reduced.
    Type: Grant
    Filed: January 15, 1997
    Date of Patent: June 15, 1999
    Assignee: LAM Research Corporation
    Inventors: Dean Denison, William Harshbarger, Anwar Husain, C. Robert Koemtzopoulos, Felix Kozakevich, David Trussell
  • Patent number: 5910221
    Abstract: A plasma reactor, for example, for processing a semiconductor wafer, in which parts of the chamber are formed of multiple pieces of silicon carbide that have been bonded together. The bonding may be performed by diffusion bonding or by using a bonding agent such as polyimide. These silicon carbide parts typically face and define a plasma region. Preferably, the surface facing the plasma is coated with a silicon carbide film, such as that deposited by chemical vapor deposition, which is more resistant to erosion by the plasma. Advantageously, the different parts are formed with different electrical resistivities consistent with forming an advantageous plasma.
    Type: Grant
    Filed: June 18, 1997
    Date of Patent: June 8, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Robert W. Wu
  • Patent number: 5904567
    Abstract: A chemical vapor reaction method including (a) introducing a first reactive gas into a reaction chamber; (b) exciting the first reactive gas to form a first film over a substrate; (c) introducing a second reactive gas into the reaction chamber after the formation of the first film; (d) exciting the second reactive gas to form a second film on the first film wherein the first film constitutes one of a semiconductor material and an insulating material while the second film constitutes the other one of the semiconductor material and the insulating material; (e) introducing a cleaning gas including nitrogen fluoride into the reaction chamber; and (f) exciting the cleaning gas in order to perform a cleaning in the inside of the reaction chamber.
    Type: Grant
    Filed: September 9, 1997
    Date of Patent: May 18, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 5904778
    Abstract: A composite silicon carbide article and its method of making in which a surface layer or film of silicon carbide is deposited, for example by chemical vapor deposition (CVD), over a free standing silicon carbide substrate, as is formed by bulk methods such as sintering and hot pressing. The article is advantageously used in a plasma reactor, especially an oxide etcher for semiconductor fabrication, and may be any of several parts including the chamber wall, chamber roof, or collar around the wafer. The bulk SiC provides an inexpensive and strong support structure of perhaps a complex shape while the CVD SiC film has advantages for plasma processing and may be tailored to particular uses. The composite SiC structure is particularly useful in that the electrical conductivities of the bulk SiC and film SiC may be separately controlled so as to provide, among many possibilities, a grounding plane, a window for RF electromagnetic radiation, or both.
    Type: Grant
    Filed: July 26, 1996
    Date of Patent: May 18, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Hao A Lu, Nianci Han, Gerald Z Yin, Robert W Wu
  • Patent number: 5900288
    Abstract: A method of cleaning a substrate, in particular, the front face of a thermal ink jet printing device, to improve subsequent thin film deposition in a single chamber plasma processing system containing fluorine-containing deposits, involves treating the substrate with a hydrogen plasma. A front face coating for a thermal ink jet device may be formed by a method involving (1) treating a substrate of the thermal ink jet device with a hydrogen plasma; (2) optionally coating the cleaned substrate with an amorphous carbon layer; and (3) coating the substrate or amorphous carbon layer with a fluoropolymer layer.
    Type: Grant
    Filed: September 27, 1996
    Date of Patent: May 4, 1999
    Assignee: Xerox Corporation
    Inventors: Daniel E. Kuhman, Thomas E. Orlowski
  • Patent number: 5900161
    Abstract: For use with CVD apparatus, an apparatus and method for detecting the end point of a post treatment after an in-situ cleaning operation is provided such that reactive chemical species which remain after an in-situ cleaning operation can be accurately removed so that they do not cause harm to a film formed after the cleaning operation. The end point detection apparatus includes a reactor, an RF electrode, an RF power supply, a gas supply pipe for forming a thin film, a gas supply pipe for in-situ cleaning, a detector for detecting discharge characteristic values (i.e. the self-bias voltage, the electrode voltage, and the discharge impedance) during the post treatment performed after the in-situ cleaning, and a monitor/determining circuit for monitoring an output from the detector.
    Type: Grant
    Filed: November 27, 1996
    Date of Patent: May 4, 1999
    Assignee: Anelva Corporation
    Inventor: Satoshi Doi
  • Patent number: 5894851
    Abstract: A method of vapor degreasing workpieces using a refrigerated vapor degreasing system. The system has a tank for containing a bath of degreasing solvent, a heater for vaporizing the solvent, a primary solvent condenser, a secondary solvent condenser, and a single refrigeration unit which can be switched to operate in two different modes. Workpieces are loaded and supported within the tank. The degreasing solvent is heated and evaporated in the tank. Refrigerant is cycled in a closed-loop, single compressor refrigeration system as low-pressure/low-temperature vapor, high-pressure/high-temperature vapor, high-pressure/low-temperature liquid, low-pressure/low-temperature vapor, and low-pressure/low-temperature liquid. A degreasing zone is formed around the workpiece and a primary evaporation barrier is formed above the workpiece by circulating low-pressure/low-temperature refrigerant from the refrigeration system through the primary solvent condenser located above the workpiece.
    Type: Grant
    Filed: August 12, 1998
    Date of Patent: April 20, 1999
    Inventor: Albert V. Hartman
  • Patent number: 5888312
    Abstract: An object to be cleaned is cleaned with an cleaning agent which mixes a base cleaning agent such as silicon-containing cleaning agent or an isoparaffin containing cleaning agent with a surfactant or a hydrophilic solvent to promote the cleaning power. The object is rinsed with the base cleaning agent alone after it goes through the first cleaning step as described above. Then, this is followed by the finishing treatment by the use of hot air or steam drying. The base cleaning agents can be recovered and recycled, improving economy in the case with the use of two or more cleaning agents in combination. The excellent properties of degreasing and dewatering, comparable to those of flon system, can be obtained using a mixture of silicon-containing or isoparaffin-containing cleaning agents with surfactants and hydrophilic solvents and maintaining safety in environment.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: March 30, 1999
    Assignee: Toshiba Silicone Co., Ltd.
    Inventors: Minoru Inada, Kimiaki Kabuki, Yasutaka Imajo, Noriaki Yagi, Nobuhiro Saitoh
  • Patent number: 5885361
    Abstract: A method of cleaning a hydrogen plasma down-stream apparatus for processing a material in a process chamber by guiding a down-stream of hydrogen plasma generated in a plasma generating space onto the material via a gas flow path with an inner main portion thereof being made of quartz, wherein plasma of a gas containing hydrogen, preferably containing hydrogen and water vapor, is generated in the plasma generating space, nitrogen fluoride is added at a down-stream position from the plasma, and a down-stream of the plasma is directed to the process chamber to clean the gas flow path. Amount of hydrogen radicals can be monitored by a metal sheath thermocouple. A hydrogen plasma down-stream apparatus suitable for removing a native oxide film or a resist film on the surface of silicon can be efficiently cleaned without disassembling it.
    Type: Grant
    Filed: May 4, 1995
    Date of Patent: March 23, 1999
    Assignee: Fujitsu Limited
    Inventors: Jun Kikuchi, Shuzo Fujimura
  • Patent number: 5882413
    Abstract: A substrate processing apparatus having a plurality of substrate processing modules connected to a substrate transport. The substrate transport has a housing and a substrate transport mechanism. The housing forms a substantially closed main transport chamber with doorways into the main transport chamber for the substrate processing modules. The transport mechanism has a substrate holder movably located in the transport chamber. The housing includes a front end extension that is connected to load locks. The front end extension has an aligner, a cooler, and a buffer directly connected to the housing and located in the front end extension in part of the main transport chamber.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: March 16, 1999
    Assignee: Brooks Automation, Inc.
    Inventors: David Beaulieu, Michael W. Pippins
  • Patent number: 5882424
    Abstract: An apparatus and method for cleaning the interior of a vacuum chamber of a plasma reactor which includes introducing an etchant gas through inlet ports into the vacuum chamber and applying RF power to a RF plasma excitation apparatus so as to ignite and sustain a plasma within the chamber. The frequency of the RF signal is less than 1 MHz. Alternately, an apparatus and method for cleaning the aforementioned vacuum chamber where at least two different RF power signals can be employed. In one embodiment of this alternate method the step of applying RF power involves providing a first and second RF signal, where each signal exhibits a different frequency. The first RF signal is of a higher frequency and provided to ignite a plasma within the chamber, and thereafter terminated, whereas the second RF signal is of a lower frequency, less than 1 MHz, and provided to sustain the plasma.
    Type: Grant
    Filed: January 21, 1997
    Date of Patent: March 16, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Brad Taylor, Turgut Sahin, Charles Dornfest, Fritz Redeker
  • Patent number: 5882423
    Abstract: A gas phase plasma cleaning method is utilized for removing contaminants from the surface of exposed metallic, ceramic and plastic parts on integrated circuits (IC's). A two step method uses a defined gas mixture of argon and oxygen, followed by ammonia and hydrogen. For plastic packages, a two step method using a fluorinated plasma, followed by oxygen and argon is utilized. The gases are separately introduced into a plasma chamber. The argon oxygen mixture is used to remove carbonatious material by chemical reaction and by milling. The ammonia hydrogen mixture is introduced to chemically remove and reduce oxides and phosphates from the metallic parts. The fluorinate is used to remove surface silicon and organo-silicon compounds from the plastic parts, while the oxygen argon mixture removes carbonatious and ionic compounds from the plastic package surface. Surface energies are increased to permit improved adhesion of inks.
    Type: Grant
    Filed: November 20, 1996
    Date of Patent: March 16, 1999
    Assignee: Harris Corporation
    Inventors: Jack H. Linn, Mike M. Higley
  • Patent number: 5882414
    Abstract: The present invention provides a method and apparatus for introducing gases into a processing chamber and cleaning isolated surfaces thereof. In one embodiment, the apparatus provides a gas distribution system which comprises a face plate and a blocker plate located adjacent the face plate wherein the blocker plate is electrically insulated from the face plate. An RF power source is electrically connected to the face plate and a switch that selectively connects the blocker plate to the RF power source or grounds the blocker plate. When the power source is applied to the faceplate and the blocker plate is grounded, an energy potential is formed between the face plate and the blocker plate. The energy potential is sufficient to strike a plasma from cleaning gases introduced into the gas distribution system to clean the apertures and surfaces of both the face plate and the blocker plate.
    Type: Grant
    Filed: September 9, 1996
    Date of Patent: March 16, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Gary L. Fong, Quoc Truong, Visweswaren Sivaramakrishman
  • Patent number: 5879574
    Abstract: The present invention provides systems, methods and apparatus for high temperature (at least about 500.degree.-800.degree. C.) processing of semiconductor wafers. The systems, methods and apparatus of the present invention allow multiple process steps to be performed in situ in the same chamber to reduce total processing time and to ensure high quality processing for high aspect ratio devices. Performing multiple process steps in the same chamber also increases the control of the process parameters and reduces device damage. In particular, the present invention can provide high temperature deposition, heating and efficient cleaning for forming dielectric films having thickness uniformity, good gap fill capability, high density, low moisture, and other desired characteristics.
    Type: Grant
    Filed: November 13, 1996
    Date of Patent: March 9, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Visweswaren Sivaramakrishnan, Gary Fong
  • Patent number: 5873181
    Abstract: A method and apparatus for cleaning the interior of a container or one or more objects suspended therein comprising generating a fluid vapor column by forming an air column having a direction of air flow. The air column is passed through a heating means, so as to heat the air, and then the cleaning fluid is injected into the air column against the direction of air flow. The fluid vapor column is then brought into contact with the interior of the container so that the vapor condenses on the interior of the container or on objects suspended therein.
    Type: Grant
    Filed: January 8, 1998
    Date of Patent: February 23, 1999
    Inventor: Mace T. Miyasaki
  • Patent number: 5868852
    Abstract: A method for the dynamic cleaning of semiconductor fabrication equipment and particularly quartzware with a thermally activated source of fluorine, such as nitrogen trifluoride, at an elevated temperature, typically at the process operation temperature, wherein the cleaning is terminated prior to complete cleaning and removal of undesired substances allowing rapid restart of fabrication equipment so cleaned.
    Type: Grant
    Filed: February 18, 1997
    Date of Patent: February 9, 1999
    Assignees: Air Products and Chemicals, Inc., Aspect Systems, Inc.
    Inventors: Andrew David Johnson, Richard Vincent Pearce, Charles Anthony Schneider, Timothy Wayne McGaughey
  • Patent number: 5869401
    Abstract: A method in a plasma processing chamber, the chamber being employed for processing a substrate, for removing corrosive species from the plasma processing chamber after the substrate is processed. The method includes introducing a flash source gas comprising an oxidizing agent such as oxygen into the plasma processing chamber. The method further includes performing, a flash process, including striking a plasma in the plasma processing chamber with the flash source gas, thereby permitting oxygen species in the plasma to reduce a concentration of the corrosive species in the plasma processing chamber.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: February 9, 1999
    Assignee: Lam Research Corporation
    Inventors: Paul E. Brunemeier, Thomas Miu
  • Patent number: 5868853
    Abstract: The present invention discloses a method for in-situ cleaning a reactive ion etching (RIE) chamber after a silicon nitride etching process by maintaining a vacuum and a radial frequency power in the chamber while flowing a chlorine gas into the chamber at a sufficient flow rate. The chlorine gas cleaning step can be integrated into the process recipe for the etching process without significantly affecting the cycle time and the yield of the process.
    Type: Grant
    Filed: June 18, 1997
    Date of Patent: February 9, 1999
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: J. G. Chen, L. M. Huang, W. C. Chien, C. P. Fan
  • Patent number: 5865900
    Abstract: A method for removing a metal-fluoropolymer residue from an integrated circuit structure within an integrated circuit. There is first provided an integrated circuit having formed therein a metal-fluoropolymer residue. The metal-fluoropolymer residue is formed from a first plasma etch method employing a fluorocarbon containing etchant gas composition within the presence of a conductor metal layer within the integrated circuit. The metal-fluoropolymer residue is then exposed to a second plasma etch method employing a chlorine containing etchant gas composition to form from the metal-fluoropolymer residue a chlorine containing plasma treated metal-fluoropolymer residue. Finally, the chlorine containing plasma treated metal-fluoropolymer residue is removed from the integrated circuit through a stripping method sequentially employing an aqueous acid solution followed by an organic solvent.
    Type: Grant
    Filed: October 4, 1996
    Date of Patent: February 2, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chiarn-Lung Lee, Huai-Jen Shu, Ying-Tzu Yen
  • Patent number: 5866005
    Abstract: The separation of a contaminant from a substrate that carries the contaminant is disclosed. The process comprises contacting the substrate to a carbon dioxide fluid containing an amphiphilic species wherein the contaminant associates with the amphiphilic species and becomes entrained in the carbon dioxide fluid. The substrate is then separated from the carbon dioxide fluid, and then the contaminant is separated from the carbon dioxide fluid.
    Type: Grant
    Filed: November 1, 1996
    Date of Patent: February 2, 1999
    Assignee: The University of North Carolina at Chapel Hill
    Inventors: Joseph M. DeSimone, Timothy J. Romack, Douglas E. Betts, James B. McClain
  • Patent number: 5863339
    Abstract: A plasma processing apparatus and method in which a counter electrode is connected to a high frequency power source to generate a plasma and the substrate electrode is grounded and in which the substrate electrode is connected to a high frequency power source and the counter electrode is grounded to perform chamber etching.
    Type: Grant
    Filed: December 10, 1996
    Date of Patent: January 26, 1999
    Assignee: NEC Corporation
    Inventor: Tatsuya Usami
  • Patent number: 5861047
    Abstract: A refractory dielectric body is heated with a plasma torch at conditions which do not result in substantial removal of a surface portion of the body, yet which are sufficient to reduce both surface and bulk impurities. Typically, the body is solid, e.g., a rod as opposed to a tube, and is treated with the plasma in the absence of simultaneous deposition of material onto the body. Advantageously, an isothermal plasma torch is utilized, and the torch advantageously produces an oxygen or oxygen-containing plasma. The invention is useful for reducing chlorine impurities by at least about 30% to a depth of at least about 10 .mu.m, with accompanying reduction of hydroxyl impurities. The invention thus provides a useful method for reducing the concentration of impurities that contribute to imperfections during the process of drawing fiber from an optical fiber preform, without requiring substantial removal of the surface of the preform.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: January 19, 1999
    Assignee: Lucent Technologies Inc.
    Inventors: James William Fleming, Jr., Robert M. Pafchek
  • Patent number: 5855689
    Abstract: Disclosed is a method for etching the inside of a tungsten CVD reaction room, in which tungsten deposited on the inside of the reaction room by a film-forming gas when a tungsten CVD reaction is conducted is removed by plasma, and which has the steps of: exhausting a remainder of the film-forming gas in the reaction room; and then supplying a high-frequency electric power into the reaction room while keeping a predetermined degree of vacuum in the reaction room and leading a mixing gas of sulfur hexafluoride and oxygen into the reaction room to generate the plasma.
    Type: Grant
    Filed: February 21, 1997
    Date of Patent: January 5, 1999
    Assignee: NEC Corporation
    Inventor: Takao Akiyama
  • Patent number: 5849094
    Abstract: Process for cleaning metal machine parts caked with thermoplastic polymers and apparatus for carrying out said process. The process consists in placing the parts caked with polymers inside an autoclave and in striking them with a stream of overheated steam. The stream mixes with the vaporized polymer residues produced by the thermal decomposition and hydrolysis of the polymers that are initially present on the parts and is conveyed outside the autoclave together with the vaporized residues. The stream containing vaporized polymer residues that leaves the autoclave is then subjected to condensation and the pollutants elimination.
    Type: Grant
    Filed: August 1, 1997
    Date of Patent: December 15, 1998
    Assignee: Movengineering S.r.l.
    Inventor: Mario Mignani
  • Patent number: 5849135
    Abstract: Particulate contamination removal from wafers using plasmas and mechanical agitation. The present invention includes the use of plasmas with mechanical agitation for removing particulate matter from the surface of a wafer. The apparatus hereof comprises a mechanical activator, at least one conducting contact pin for transferring the vibration from the activator to the wafer, clamp fingers that maintain the wafer's position, and means for generating a plasma in the vicinity of the surface of the wafer, all parts of the cleaning apparatus except the mechanical activator and part of the contact pin being contained inside the processing chamber. By exposing a wafer to a plasma and providing motion thereto in a direction perpendicular to its surface, the bonding between the particulate matter and the surface may be overcome. Once free of the wafer surface, the particulates become charged by electrons from the plasma and are drawn into the plasma by attractive forces which keep them from redepositing.
    Type: Grant
    Filed: March 12, 1996
    Date of Patent: December 15, 1998
    Assignee: The Regents of the University of California
    Inventor: Gary S. Selwyn
  • Patent number: 5849092
    Abstract: A method for removing particles and residue that build up inside a substrate processing system during a substrate processing operation, without overetching system components, is described. One method includes the steps of: flowing an etchant gas comprising chlorine trifluoride (CIF.sub.3), diluted with an inert carrier gas, into a processing chamber after completion of the substrate processing operation. The parts of the system within the chamber with the greatest amount of build-up are preferentially heated to facilitate more extensive cleaning of those parts. Parts of the system within the chamber with less build up are protected from overetching by keeping them about 200.degree. C. cooler than the heavily-deposited parts. Heating the heavily-deposited chamber parts to a temperature of at least about 400.degree. C. allows using a lower concentration of etchant gas for the cleaning process than a lower temperature process would allow.
    Type: Grant
    Filed: February 25, 1997
    Date of Patent: December 15, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Kazuhiro Nishina, Steve (Aihua) Chen, Toshiaki Fujita
  • Patent number: 5849093
    Abstract: The invention relates to a process for cleaning and smoothing the surface of materials with a monocrystalline, polycrystalline or amorphous structure comprising the steps of: (a) polishing the surface to the lowest mechanically attainable roughness; (c) bombarding the surface with ions of a defined charge and kinetic energy to remove any impurities on the surface. To improve efficiency and especially to reduce the cleaning cycles it is proposed to bombard the surface with at least double-charged ions of low kinetic energy, where the potential energy of the ions causes an interaction with the bonded impurities in accordance with their high charge which removes said impurities. Furthermore the kinetic energy imparted to the ions is determined solely so that the ions can approach the atoms of the surface impurities as closely as possible without, however, penetrating the surface.
    Type: Grant
    Filed: September 12, 1994
    Date of Patent: December 15, 1998
    Inventor: Jurgen Andra
  • Patent number: 5843239
    Abstract: A method for removing particles from an interior surface of a processing chamber using a two-step cleaning process. The method includes introducing a first cleaning process gas into the processing chamber, applying energy to that first cleaning process gas to remove particles from the processing chamber's interior surface, and introducing a second cleaning process gas into the processing chamber to remove a cleaning residue formed by a reaction between the first cleaning process gas and the processing chamber's interior surface. Removing or gettering the cleaning residue from the chamber wall improves the quality of the wafers formed in the process.
    Type: Grant
    Filed: March 3, 1997
    Date of Patent: December 1, 1998
    Assignee: Applied Materials, Inc.
    Inventor: Ashish V. Shrotriya
  • Patent number: 5843838
    Abstract: A method of forming a BPSG dielectric layer on a wafer without delamination in the fabrication of an integrated circuit device wherein a BPSG deposition chamber is used is described. Semiconductor device structures are provided in and on a semiconductor substrate. The BPSG deposition chamber is cleaned according to the following steps. The deposition chamber is cleaned using a fluorine-containing gas. The fluorine-containing gas is pumped out of the deposition chamber wherein residual fluorine-containing gas remains within the deposition chamber. A plasma is flowed into the deposition chamber wherein the plasma consumes all of the residual fluorine-containing gas. The plasma is purged from the deposition chamber to complete the cleaning of the BPSG deposition chamber. Thereafter, a layer of BPSG is deposited over the semiconductor device structures wherein the BPSG layer is deposited while the wafer is within the BPSG deposition chamber.
    Type: Grant
    Filed: August 29, 1997
    Date of Patent: December 1, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George O. Saile, Han-Chung Chen
  • Patent number: 5840203
    Abstract: The present invention describes a modified dry etching, or plasma ashing, method for removing photoresist residue which avoids corrosion of metal electrodes. The wafers are placed in a batch type plasma chamber and a radio frequency plasma is established while oxygen gas is flowed through the chamber. The radio frequency power is then removed and the wafers, still in the batch type plasma chamber, are baked either with no oxygen flow or with a low oxygen flow rate. The baking drives off chlorine and other ions which can cause metal corrosion. The wafers are then removed from the batch type plasma chamber and normal processing continues.
    Type: Grant
    Filed: December 2, 1996
    Date of Patent: November 24, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventor: Chiang Jen Peng
  • Patent number: 5830279
    Abstract: A device and method for removing contaminants from semiconductor wafers and from the interior of wafer processing chambers in which the temperature inside the chambers is raised to sufficiently high levels for short time periods. In a wafer etching chamber, heat cleaning is performed after wafer removal and lessens the required frequency of other cleaning methods and in doing so reduces the time the chamber is unavailable. In a mask removal chamber, heat cleaning is performed with the wafer in the chamber and while still under vacuum conditions, thereby driving contaminants off of both the wafer and the chamber interior. The wafer cleaning is performed prior to exposure to atmospheric water vapor which can initiate corrosion.
    Type: Grant
    Filed: September 29, 1995
    Date of Patent: November 3, 1998
    Assignee: Harris Corporation
    Inventor: John J. Hackenberg
  • Patent number: 5826601
    Abstract: A treating liquid first in a treating bath is replaced with a second treating liquid by supplying the second treating liquid to an upper position of the treating bath while discharging the first treating liquid from a lower position of the treating bath. The replacement is effected with a balance maintained between a quantity of the first treating liquid discharged and a quantity of the second treating liquid supplied. With this method, the first treating liquid in the treating bath is replaceable with a reduced quantity of the second treating liquid. This treating liquid replacing method allows a substrate to be treated efficiently with a plurality of treating liquids in one treating bath.
    Type: Grant
    Filed: March 26, 1996
    Date of Patent: October 27, 1998
    Assignee: Dainippon Screen Mfg., Co.
    Inventors: Yusuke Muraoka, Tutomu Takeuchi
  • Patent number: 5824607
    Abstract: A plasma reactor chamber uses an antenna driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome to provide a plasma source. The antenna generates a high density, low energy plasma inside the chamber. The chamber includes a plurality of magnets for generating magnetic fields. Ion flux is concentrated in certain areas of the chamber and is diverted from other areas of the chamber by using these magnetic fields. Various magnetic and voltage processing enhancement techniques are disclosed, along with etch processes, deposition processes and combined etch/deposition processes. The disclosed invention provides a means of cleaning the deposition residues from the reactor walls while minimizing damage to the wafer pedestal.
    Type: Grant
    Filed: February 6, 1997
    Date of Patent: October 20, 1998
    Assignee: Applied Materials, Inc.
    Inventors: John Trow, Tetsuya Ishikawa
  • Patent number: 5824375
    Abstract: A method and apparatus for reducing fluorine and other sorbable contaminants in plasma reactor used in chemical vapor deposition process such as the deposition of silicon oxide layer by the reaction of TEOS and oxygen. According to the method of the present invention, plasma of an inert gas is maintained in plasma reactor following chamber clean to remove sorbable contaminants such as fluorine. The plasma clean is typically followed by seasoning of the reactor to block or retard remaining contaminants. According to one embodiment of the invention, the combination of chamber clean, plasma clean, and season film is conducted before PECVD oxide layer is deposited on wafer positioned in the plasma reactor.
    Type: Grant
    Filed: October 24, 1996
    Date of Patent: October 20, 1998
    Assignee: Applied Materials, Inc.
    Inventor: Anand Gupta
  • Patent number: 5817534
    Abstract: The invention is carried out in a plasma reactor for processing a semiconductor wafer, the plasma reactor having a chamber for containing a processing gas and having a conductor connected to an RF power source for coupling RF power into the reactor chamber to generate from the processing gas a plasma inside the chamber, the chamber containing at least one surface exposed toward the plasma and susceptible to contamination by particles produced during processing of the wafer, the invention being carried out by promoting, during processing of the wafer, bombarding of particles from the plasma onto the one surface to remove therefrom contaminants deposited during processing of the wafer. Such promoting of bombarding is carried out by providing an RF power supply and coupling, during processing of the wafer, RF power from the supply to the one surface. The coupling may be performed by a capacitive cleaning electrode adjacent the one surface, the capacitive cleaning electrode connected to the RF power supply.
    Type: Grant
    Filed: December 4, 1995
    Date of Patent: October 6, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Yan Ye, Hiroji Hanawa, Diana Xiaobing Ma, Gerald Zheyao Yin, Peter Loewenhardt, Donald Olgado, James Papanu, Steven S.Y. Mak
  • Patent number: 5817181
    Abstract: A process for producing a light-receiving member comprising a substrate and provided thereon a blocking layer and a photoconductive layer each comprised of a non-monocrystalline material is disclosed in which the blocking layer is comprised of a non-monocrystalline material comprising silicon atoms as matrix and at least one kind of atoms selected from the group consisting of carbon atoms, oxygen atoms and nitrogen atoms, the process comprising forming the blocking layer and the photoconductive layer by plasma CVD using glow discharge decomposition of a starting material gas caused by applying to the starting material gas an electromagnetic wave with a frequency of from 20 MHz to 450 MHz.
    Type: Grant
    Filed: July 24, 1995
    Date of Patent: October 6, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryuji Okamura, Kazuyoshi Akiyama, Hitoshi Murayama, Koji Hitsuishi, Satoshi Kojima, Hirokazu Ohtoshi, Masaaki Yamamura
  • Patent number: 5817578
    Abstract: According to a cleaning method of a vacuum processing apparatus, an aluminum film formed on a semiconductor substrate and covered by a resist pattern is etched by a gas containing chlorine radicals in a processing chamber of the vacuum processing apparatus and, after that, a plasma of diluted gases of mixture gases consisting of a gas containing oxygen radicals, a gas containing fluorine radicals, and a gas containing chlorine radicals is generated in the processing chamber, thereby removing residual reaction products.
    Type: Grant
    Filed: May 16, 1996
    Date of Patent: October 6, 1998
    Assignee: NEC Corporation
    Inventor: Hiroshi Ogawa
  • Patent number: 5814155
    Abstract: A method for enhancing sidewall polymer removal. In one embodiment of the present invention, O.sub.2 is introduced into an ashing environment at a flow rate of approximately 800 standard cubic centimeters per minute (SCCM). In the present embodiment, CF.sub.4 is also introduced into the ashing environment. The CF.sub.4 is introduced at a flow rate of approximately 80 SCCM. The ashing environment also has H.sub.2 O vapor introduced therein. In the present embodiment, the H.sub.2 O vapor is introduced into the ashing environment at a flow rate of approximately 80 SCCM. The ashing environment is used to selectively etch sidewall polymer material, thereby providing a method for removing sidewall polymer material without detrimentally etching other materials.
    Type: Grant
    Filed: June 26, 1996
    Date of Patent: September 29, 1998
    Assignee: VLSI Technology, Inc.
    Inventors: Ramiro Solis, Mark Arnold Levan
  • Patent number: 5810937
    Abstract: A method and apparatus for protecting a susceptor during a cleaning operation by loading a ceramic wafer onto the susceptor before introducing the cleaning agent into the chamber is provided. In particular, the ceramic wafer is chosen to have a dielectric value sufficient to alter the electromagnetic field of a plasma to spread the plasma away from the susceptor during a cleaning operation, directing more of the plasma towards the walls of the chamber.
    Type: Grant
    Filed: March 13, 1996
    Date of Patent: September 22, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Anand Gupta, Srihari Ponnekanti, Gana A. Rimple, Laxman Murugesh
  • Patent number: 5812403
    Abstract: The present invention provides a method for cleaning a processing chamber. According to a specific embodiment, the method includes steps of depositing a dielectric film on a wafer on a ceramic heater in the processing chamber in a first time period, with the ceramic heater heated to a first temperature of at least about 500.degree. C. during the deposition step; and introducing reactive species into the processing chamber from a clean gas that is input to a remote microwave plasma system during a second time period, with the ceramic heater heated to a second temperature of at least about 500.degree. C. during the introducing step. The method also includes cleaning surfaces in the processing chamber, with cleaning performed by the reactive species. In particular, the present invention can provide high temperature deposition, heating and efficient cleaning for forming dielectric films having thickness uniformity, good gap fill capability, high density, low moisture, and other desired characteristics.
    Type: Grant
    Filed: November 13, 1996
    Date of Patent: September 22, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Gary Fong, Li-Qun Xia, Srinivas Nemani, Ellie Yieh
  • Patent number: 5811356
    Abstract: The present invention provides a method and apparatus for reducing the concentration of mobile ion and metal contaminants in a processing chamber by increasing the bias RF power density to greater than 0.051 W/mm.sup.2 and increasing the season time to greater than 30 seconds, during a chamber seasoning step. The method of performing a season step in a chamber by depositing a deposition material under the combined conditions of a bias RF power density of about 0.095 W/mm.sup.2 and a season time of from about 50 to about 70 seconds, reduces the mobile ion and metal contaminant concentrations within the chamber by about one order of magnitude.
    Type: Grant
    Filed: August 19, 1996
    Date of Patent: September 22, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Laxman Murugesh, Pravin Narwankar, Turgut Sahin, Kent Rossman
  • Patent number: RE35975
    Abstract: Components, especially of an electronic or electrical type, are cleaned by contact with a flammable liquid organic solvent (21), especially an alcohol. The surface of the solvent is covered with a non-flammable highly fluorinated compound vapor blanket (26), which vapor may also be used to transfer heat to the organic solvent. Both highly fluorinated compound and organic solvent are condensed and re-cycled.
    Type: Grant
    Filed: September 27, 1994
    Date of Patent: December 1, 1998
    Assignee: Rhone-Poulenc Chimie
    Inventor: David Stanley Lloyd Slinn