One A Neutralizer For Another Patents (Class 134/27)
  • Patent number: 8221556
    Abstract: This invention relates to a plumbing device made of a copper alloy containing nickel salt, that includes a valve and a tube coupling having at least a liquid-contacting part washed with a cleaning fluid incorporating therein nitric acid and hydrochloric acid as an inhibitor under conditions of a temperature and a duration permitting effective removal of nickel salt, thereby performing nickel salt-removing treatment and causing the hydrochloric acid to form a coating film on the surface of the liquid-contacting part thereby effectively precluding elution of nickel salt from the surface of the liquid-contacting part in the presence of the coating film, wherein the nitric acid has a concentration c in a range of 0.5 wt %<c<7 wt % and the hydrochloric acid has a concentration d in a range of 0.05 wt %<d<0.7 wt % in the cleaning fluid, wherein the temperature is set to 10° C.?x 50° C., and wherein nickel salt is removed with the cleaning fluid.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: July 17, 2012
    Assignee: Kitz Corporation
    Inventor: Norikazu Sugaya
  • Patent number: 8187389
    Abstract: A resist removing device 1 functions to remove a resist from a substrate while preventing occurrence of popping phenomenon and at the same time attains reduction in cost of energy for the resist removing and has a simplified constitution. The resist removing device 1 is equipped with a chamber 2 for containing therein a substrate 16 (for example, a substrate having a high-doze ion implanted resist), and with a pressure below the atmospheric pressure, the chamber 2 is fed with ozone gas, unsaturated hydrocarbons and water vapor. The ozone gas may be an ultra-high concentrated ozone gas that is produced by subjecting an ozone containing gas to a liquefaction-separation with the aid of a vapor pressure difference and then vaporizing the liquefied ozone. For cleaning the substrate 16 thus treated, it is preferable to use ultra-pure water. The chamber 2 is equipped with a susceptor 15 for holding the substrate 16. The susceptor 15 is heated to a temperature of 100° C. or below.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: May 29, 2012
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Patent number: 8128754
    Abstract: The pickling process designed for pickling electrical steel strip in a continuous fashion comprising immersing the strip in at least one pickling tub. The pickling tub contains a mixture of HCl, Fe2+, and Fe3+ and a low concentration of HF. Upon exiting the final pickling tub, the strip may be brushed or scrubbed to loosen any residual scale to form a clean strip.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: March 6, 2012
    Assignee: AK Steel Properties, Inc.
    Inventors: Vijay N. Madi, Amanda Glass, Ronald Rodabaugh
  • Patent number: 8092613
    Abstract: A method of warewashing for the removal of starch is described herein. The method includes applying an alkaline composition to a dish, then applying an acidic composition to a dish, and then applying a second alkaline composition to the dish. The method may include additional steps. Compositions for using with the method are also disclosed. Finally, dish machines that may be used in accordance with the method are disclosed.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: January 10, 2012
    Assignee: Ecolab USA Inc.
    Inventors: Werner Strothoff, Winfried Troll, Helmut Maier, John P. Furber, Bryan A. Maser, Michael E. Besse
  • Publication number: 20110259373
    Abstract: A surface processing method and a surface processing agent for effectively removing smear produced in a via or the like are disclosed. The smear is to be removed without etching an inner metalized layer without using expensive permanganates that might impose a greater load on an environment and operators. By removing the smear, the tightness in adhesion between an inner metalized circuit layer and plating metal as well as reliability in electrical connection may be improved. To this end, a surface processing method for a resin-containing substrate of a printed circuit board is provided in which the smear left in an opening, such as a blind via, a through-hole or a trench, formed in the substrate, may be removed without etching a metalized inner layer.
    Type: Application
    Filed: April 19, 2011
    Publication date: October 27, 2011
    Applicant: C. UYEMURA CO., LTD
    Inventors: Teruyuki Hotta, Takahiro Ishizaki
  • Patent number: 8038798
    Abstract: A substrate cleaning apparatus is capable of individually setting a threshold value for use in making a check of a resistivity during a rinsing process on a recipe setting screen in each process step. Thus, by setting each threshold value depending on the type of liquid chemical to be used immediately before the rinsing process, the substrate cleaning apparatus can use an optimum threshold value during the rinsing process in each process step to make a check of the resistivity. This allows the proper completion of the rinsing process in each process step.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: October 18, 2011
    Assignees: Sony Corporation, Dainippon Screen Mfg. Co., Ltd.
    Inventors: Hayato Iwamoto, Noriaki Adachi
  • Patent number: 8029623
    Abstract: Embodiments of the present disclosure include cleaning processes, cleaning machines, and methods of preventing acidification of a cleaning composition in a cleaning process. The cleaning process includes contacting an article having contaminants with a cleaning composition to remove the contaminants from the article, where the cleaning composition comes to have acidic components as a result of contacting the article with the cleaning composition, and where at least 85 percent by weight, based on a total weight of the cleaning composition, of the cleaning composition is an organic solvent, collecting the cleaning composition with the contaminants and the acidic components, separating the contaminants from the cleaning composition (114), and passing the cleaning composition with the acidic components over an ion exchange resin (125) to remove the acidic components from the cleaning composition.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 4, 2011
    Assignee: Dow Global Technologies LLC
    Inventors: Konrad Geissler, Marius Kuemin
  • Patent number: 8021609
    Abstract: A highly stabilized hydrogen peroxide useful for chemical sterilization of packaging materials in high-speed aseptic packaging plants.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: September 20, 2011
    Assignee: Solvay Chemicals GmbH
    Inventors: Werner Doetsch, Otmar Woost
  • Publication number: 20110220155
    Abstract: The present invention relates to medium chain peroxycarboxylic acid compositions of neutral or alkaline pH, to methods of making these compositions, and to methods employing these compositions. The methods include methods of cleaning. The compositions include cleaning compositions.
    Type: Application
    Filed: December 17, 2010
    Publication date: September 15, 2011
    Applicant: ECOLAB USA INC.
    Inventors: Victor F. Man, Gina M. Fasching, Nathan D. Peitersen, Mark R. Altier
  • Patent number: 8007593
    Abstract: A remover composition containing 1,3-propanediamine (a), 1-hydroxyethylidene-1, 1-diphosphonic acid (b) and water, wherein the remover composition contains the component (a) in an amount of from 0.2 to 30% by weight, the component (b) in an amount of from 0.05 to 10% by weight, and the water in an amount of from 60 to 99.75% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13; and a remover composition containing an organic amine (A), an organic phosphonic acid (B), a linear sugar alcohol (C) and water, wherein the remover composition contains the component (A) in an amount of from 0.2 to 30% by weight, the component (B) in an amount of from 0.05 to 10% by weight, the component (C) in an amount of from 0.1 to 10% by weight, and the water in an amount of from 50 to 99.65% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: August 30, 2011
    Assignee: Kao Corporation
    Inventors: Sadaharu Miyamoto, Yasushi Sasaki
  • Patent number: 8007594
    Abstract: A method for manufacturing a semiconductor device includes the step of conducting a cleaning process for a wafer formed with copper wiring lines to remove contaminations produced on a back surface of the wafer. The cleaning process is conducted by injecting onto the back surface of the wafer an etchant for removing contaminations and simultaneously injecting onto a front surface of the wafer a reductant containing hydrogen.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: August 30, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Bang Lee, Kwang Kee Chae, Ok Min Moon
  • Publication number: 20110146909
    Abstract: Methods for wet cleaning quartz surfaces of components for plasma processing chambers in which semiconductor substrates are processed, such as etch chambers and resist stripping chambers, include contacting the quartz surface with at least one organic solvent, a basic solution and different acid solutions, so as to remove organic and metallic contaminants from the quartz surface. The quartz surface is preferably contacted with one of the acid solutions at least two times.
    Type: Application
    Filed: January 28, 2011
    Publication date: June 23, 2011
    Applicant: Lam Research Corporation
    Inventors: Hong Shih, Tuochuan Huang, Duane Outka, Jack Kuo, Shenjian Liu, Bruno Morel, Anthony Chen
  • Patent number: 7959743
    Abstract: The invention relates to a process of cleaning boreholes, boring equipment and borings with an aqueous cleaning of one more lithium salts of alkyl sulfates of formula (I): R—O—SO3?Li+??(I) in which R is a saturated, unsaturated, branched or linear alkyl group containing 8 to 22 carbon atoms, preferably the lithium salts of alkyl sulfates are comprised of a mixture of those in which R is a saturated, linear alkyl groups having 8 to 14 carbon atoms.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: June 14, 2011
    Assignee: Cognis Oleochemicals GmbH
    Inventors: Heinz Mueller, Diana Maeker, Nadja Herzog
  • Patent number: 7938911
    Abstract: Semiconductor wafers are cleaned using a cleaning solution containing an alkaline ammonium component in an initial composition, wherein the semiconductor wafer is brought into contact with the cleaning solution in an individual-wafer treatment, and in the course of cleaning hydrogen fluoride is added as further component to the cleaning solution, and the cleaning solution has at the end of cleaning, a composition that differs from the initial composition.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: May 10, 2011
    Assignee: Siltronic AG
    Inventors: Clemens Zapilko, Thomas Buschhardt, Diego Feijoo, Guenter Schwab
  • Patent number: 7931753
    Abstract: A method to remove deposits containing magnetite and copper from a container, particularly from a steam generator of a nuclear power plant. In a first step, the container is treated using an alkaline cleaning solution containing a complexing agent forming a soluble complex with iron ions, a reducing agent, and an alkalizing agent. In a second step a further complexing agent forming a more stable complex with iron III ions than the complexing agent used in the first step and an oxidant are added to the cleaning solution of the first step present in the container.
    Type: Grant
    Filed: September 8, 2009
    Date of Patent: April 26, 2011
    Assignee: Areva NP GmbH
    Inventors: Konrad Bitter, Ursula Hollwedel, Enkhtsetseg Batchuluun
  • Patent number: 7922822
    Abstract: A cleaning and sterilizing method for removing organic matter adhered to the surface of an endoscopic camera instrument, and effectively exhibiting bacteria-killing and virus-killing effects of acidic water uses, as a cleaning bath, an alkaline-water-producing electrolysis bath partitioned by a separating membrane and having electrodes on both sides, and conducts preliminary cleaning of an endoscopic camera instrument inserted in the cleaning bath by ultrasonic cleaning with city tap water. Subsequently, saline solution is provided to the electrolysis bath to conduct electrolysis, conduct ultrasonic cleaning with alkaline water obtained by the electrolysis, introduce acidic water in the acidic water generation bath and conduct sterilization-cleaning, and further to conduct ultrasonic cleaning with city tap water. Then, the endoscopic camera instrument is dried with warm air as the case requires.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: April 12, 2011
    Assignees: Kripton Co., Ltd., Science Technology Interact Co., Ltd.
    Inventors: Masahisa Hamada, Takehisa Nakayama, Kazuko Ichimiya
  • Patent number: 7909935
    Abstract: The present invention is directed to a method of cleaning an interior surface of a fluid delivery system. The method comprises introducing a first liquid into the fluid delivery system and contacting the interior surface with the first liquid; forming a slurry by introducing a particulate into the first liquid and contacting the interior surface with the slurry; introducing a second liquid into the fluid delivery system and contacting the interior surface with the second liquid; and introducing a third liquid into the fluid delivery system and contacting the interior surface with the third liquid. The first liquid is substantially soluble or substantially insoluble in the second liquid, the second liquid is substantially insoluble in the third liquid, and the particulate is substantially insoluble in the first and second liquids and substantially soluble in the third liquid.
    Type: Grant
    Filed: October 10, 2008
    Date of Patent: March 22, 2011
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Jonathan N. Warren, Phillip J. Beauchamp, Finn Bergishagen, Denise A. Palumbo
  • Publication number: 20110056522
    Abstract: Methods for cleaning polymeric microfiltration or ultrafiltration membranes. The membrane may be contacted with a first cleaning solution including at least one oxidising agent. A second cleaning solution including at least one reducing agent may then be introduced to the membrane and first cleaning solution. The oxidising and reducing agents may undergo a neutralisation reaction to form an oxidation-neutral mixed cleaning solution. The membrane may be simultaneously cleaned during the neutralisation reaction.
    Type: Application
    Filed: June 11, 2010
    Publication date: March 10, 2011
    Inventors: Peter Zauner, Fufang Zha
  • Patent number: 7901515
    Abstract: Exemplary embodiments provide a cleaning composition that can include alkane components for cleaning printer members made of elastomeric materials.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: March 8, 2011
    Assignee: Xerox Corporation
    Inventors: Santokh Badesha, Barry P. Mandel, Matthew M. Kelly, David J. Gervasi
  • Patent number: 7902270
    Abstract: A material can be molded into part made of a biocompatible binder containing one or several compounds for adding calcium and phosphorus It has been subjected to a surface pickling operation intended to ensure surface application and hence surface access of the elements added to the binder, in particular calcium and phosphorus. This material may advantageously be used for the realization of endo-bone implants or bone prostheses.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: March 8, 2011
    Inventor: Jean-Pierre Cougoulic
  • Patent number: 7887641
    Abstract: The present invention relates to medium chain peroxycarboxylic acid compositions of neutral or alkaline pH, to methods of making these compositions, and to methods employing these compositions. The methods include methods of cleaning. The compositions include cleaning compositions.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: February 15, 2011
    Assignee: Ecolab USA Inc.
    Inventors: Victor Fuk-Pong Man, Gina Marie Fasching, Nathan Daniel Peitersen, Mark Raymond Altier
  • Patent number: 7887625
    Abstract: A composition for sealing exposed wood before finishing the wood, which composition includes polymer solids and an acid neutralizing agent. The acid neutralizing agent may be a weak base and/or a buffering agent. The polymer solids may include acrylate monomers, urethane monomers, and the like. Also disclosed is a method of sealing and neutralizing a wood surface before finishing the wood surface by applying the composition to the exposed wood surface.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: February 15, 2011
    Assignee: Harris Research, Inc.
    Inventor: Edward Durrant
  • Patent number: 7879155
    Abstract: A method of removing paint from a plastic substrate at least partially coated with a paint coating by: providing at least one plastic substrate at least partially coated with a paint coating; providing a kit for a user to utilize to remove paint from the plastic substrate, which is at least partially coated with a paint coating; immersing the at least partially coated plastic substrate into first mix of components by the end user wherein the first mix of components further comprises water when the at least partially coated plastic substrates are immersed into the first mix of components; and rinsing the plastic substrate with water. The immersing and rinsing steps remove the paint coating from the plastic substrate.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: February 1, 2011
    Assignee: Montie-Targosz Enterprises, LLC
    Inventor: Paul Montie
  • Patent number: 7879406
    Abstract: A composition for sealing exposed wood before finishing the wood, which composition includes polymer solids and an acid neutralizing agent. The acid neutralizing agent may be a weak base and/or a buffering agent. The polymer solids may include acrylate monomers, urethane monomers, and the like. Also disclosed is a method of sealing and neutralizing a wood surface before finishing the wood surface by applying the composition to the exposed wood surface.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: February 1, 2011
    Assignee: Harris Research, Inc
    Inventor: Edward E. Durrant
  • Patent number: 7879153
    Abstract: It relates to a method for removing a surfactant, organic materials and chlorine ions remained on the surface of metal nanoparticles, prepared on an organic solvent phase including a surfactant. The method for cleaning metal nanoparticles herein is efficient to remove organic materials or chlorine ions remained on the surface of the nanoparticles. Not less than 90% of impurities may be removed by this method. As a result, the thickness of a multi layer ceramic capacitor (MLCC) can be reduced and a packing factor can be improved so that it allows thinner multi layer ceramic capacitors and improved utilities of metal nanoparticles as fuel cell catalysts, hydrogenation reaction catalysts, alternative catalysts of platinum (Pt) in chemical reactions and the like.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: February 1, 2011
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Jung-Wook Seo, Hyo-Seung Nam, Young-Ku Lyu, Kyung-Mi Kim, Jong-Sik Kim, Tae-Ho Kim
  • Patent number: 7846265
    Abstract: Exemplary embodiments provide a cleaning composition that can include alkane components for cleaning printer members made of elastomeric materials.
    Type: Grant
    Filed: October 13, 2009
    Date of Patent: December 7, 2010
    Assignee: Xerox Corporation
    Inventors: Santokh Badesha, Barry P. Mandel, Matthew M. Kelly, David J. Gervasi
  • Patent number: 7828907
    Abstract: A cleaning composition for removing soils includes an alkyl vinyl ether-maleic acid copolymer and at least one component selected from the group consisting of sodium carbonate and sodium hydroxide. The composition contains less than about 10% by weight of at least one component selected from the group consisting of phosphorous-containing components and aminocarboxylates.
    Type: Grant
    Filed: May 9, 2007
    Date of Patent: November 9, 2010
    Assignee: Ecolab Inc.
    Inventors: Altony Miralles, Michael E. Besse
  • Publication number: 20100258142
    Abstract: The embodiments provide apparatus and methods for removing particles from a substrate surface, especially from a surface of a patterned substrate (or wafer). The cleaning apparatus and methods have advantages in cleaning patterned substrates with fine features without substantially damaging the features on the substrate surface. The cleaning apparatus and methods involve using a viscoelastic cleaning material containing a polymeric compound with large molecular weight, such as greater than 10,000 g/mol. The viscoelastic cleaning material entraps at least a portion of the particles on the substrate surface. The application of a force on the viscoelastic cleaning material over a sufficiently short period time causes the material to exhibit solid-like properties that facilitate removal of the viscoelastic cleaning material along with the entrapped particles. A number of forces can be applied over a short period to access the solid-like nature of the viscoelastic cleaning material.
    Type: Application
    Filed: April 14, 2009
    Publication date: October 14, 2010
    Inventors: Mark Naoshi Kawaguchi, David Mui, Mark Wilcoxson
  • Patent number: 7799145
    Abstract: The present invention is a cleaning composition for use with firearms and industrial items in which all of the components of the composition are biodegradable. The selected components of the composition also have a combined flashpoint of over 200° F. The low volatility of the composition enhances the safety factor by its reduced flammability in conjunction with the low odor and other benefits obtained by the biodegradability of the composition. The composition essentially comprises a hydrocarbon solvent and a lubricant which effectively removes the fouling present within the interior arm of a firearm bore and at the same time lubricates and protects the firearm bore to help prevent the formation of rust.
    Type: Grant
    Filed: September 16, 2008
    Date of Patent: September 21, 2010
    Inventor: John A. Thompson
  • Publication number: 20100224217
    Abstract: A semiconductor cleaning method and apparatus and a controlling method of semiconductor cleaning are disclosed. The developing method includes the following steps. A developing solution is applied onto a wafer having a photoresist layer. Next, the wafer is rotated to scatter the developing solution over the photoresist layer. Then, a nozzle upon the center of the wafer ejects a non-reactive liquid onto the photoresist layer for a time period. Next, the nozzle is moved in a radial direction of the wafer to at least one next location and then ejects the liquid onto the photoresist layer for at least one next time period. The wafer is then rinsed until the developing solution and the unnecessary photoresist dissolved in the developing solution are washed away and a patterned photoresist layer is revealed.
    Type: Application
    Filed: March 6, 2009
    Publication date: September 9, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Cheng-Hui Chen, Chun-Chieh Wang, Po-Wei Yu
  • Publication number: 20100192976
    Abstract: Disclosed are an apparatus for cleaning a membrane module and a method therefor, which can minimize consumption of chemicals required to clean the membrane module while maximizing a recovery cleaning rate of the membrane module without completely stopping a water treatment operation. The cleaning apparatus includes a first flushing bath for flushing a membrane module transferred from a water treatment tank, and a first chemical cleaning bath for cleaning the membrane module, which has been completely flushed and transferred from the first flushing bath, by use of a first chemical solution.
    Type: Application
    Filed: August 11, 2008
    Publication date: August 5, 2010
    Inventors: Kwang-Jin Lee, Sung-Hak Choi, Moo-Seok Lee
  • Patent number: 7749330
    Abstract: A method and apparatus provide for automatically cleaning and decontaminating medical instruments. The method comprising the steps of: a) placing the medical instruments into a container after their use in a medical procedure; b) closing the container to seal the instruments inside whereby to prevent personnel contact with the instruments and any contaminants which might be thereon; c) inserting the sealed container into a washer/decontaminator and sealing the washer/decontaminator; d) the washer/decontaminator automatically opening the container and applying a washing fluid thereto to wash the instruments within the container; and e) the washer/decontaminator automatically applying a disinfectant to the container to disinfect the instruments whereby to allow safe handling thereof by personnel.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: July 6, 2010
    Assignee: Ethicon, Inc.
    Inventors: Szu-Min Lin, Robert C. Platt, Peter C. Zhu
  • Patent number: 7744701
    Abstract: A method of removing paint from a plastic substrate at least partially coated with a paint coating by: providing at least one plastic substrate at least partially coated with a paint coating; providing a kit for a user to utilize to remove paint from the plastic substrate, which is at least partially coated with a paint coating; immersing the at least partially coated plastic substrate into first mix of components by the end user wherein the first mix of components further comprises water when the at least partially coated plastic substrates are immersed into the first mix of components; and rinsing the plastic substrate with water. The immersing and rinsing steps remove the paint coating from the plastic substrate.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: June 29, 2010
    Assignee: Montie-Targosz LLC
    Inventor: Paul Montie
  • Patent number: 7727337
    Abstract: Chemical method of cleaning metallic residue from forming tools utilizing the application of a caustic material including base or mild acid to the tool for a short period of time. The caustic material is held in substantially fixed relation for a period of time to dislodge the metallic residue followed by neutralization or removal.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 1, 2010
    Assignee: GM Global Technology Operations, Inc.
    Inventors: Anil K. Sachdev, Paul E. Krajewski
  • Patent number: 7666320
    Abstract: There is provided a method for removing molten and scattered Cu and overhang that are generated around a via opening during laser machining in a direct laser via forming method of directly machining an outer-layer copper foil. In a manufacturing method of a printed wiring board of machining the via by laser directly through the copper foil of a copper-clad laminate in which the copper foil is clad on a base material resin, a process for machining the via is carried out in a sequence of (a) a copper foil surface treatment step of forming an oxide film on the surface of said copper foil, (b) a laser via machining step, (c) an alkali treatment step and (d) a molten and scattered Cu etching step. It is desirable to carry out (e) a de-smearing treatment after the molten and scattered Cu etching.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: February 23, 2010
    Assignee: Hitachi Via Mechanics, Ltd.
    Inventors: Toshinori Kawamura, Haruo Akahoshi, Kunio Arai
  • Patent number: 7641738
    Abstract: A method of wet cleaning a surface is disclosed. The method of wet cleaning a surface of at least one material chosen from silicon, silicon-germanium alloys, A(III)/B(V)-type semiconductors and epitaxially grown crystalline materials, such as germanium, includes the following successive steps: a) the surface is brought into contact with an HF solution; b) the surface is rinsed with acidified, deionized water, then a powerful oxidizing agent is added to the deionized water and the rinsing is continued; c) optionally, step a) is repeated, once or twice, while optionally reducing the contacting time; d) step b) is optionally repeated, once or twice; and e) the surface is dried.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: January 5, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Alexandra Abbadie, Pascal Besson, Marie-Noëlle Semeria
  • Patent number: 7638004
    Abstract: A method of cleaning a microwave plasma applicator tube as described herein includes preparing a microwave plasma applicator for cleaning. A general cleaning of the plasma applicator tube is performed using an organic solvent wash and an ultrapure water wash. Selective cleanings of the tube are performed to remove selected contaminants. Such cleanings include a third wash with an alkaline cleaning solution, a fourth wash with an acidic cleaning solution and another wash using an ammonia and peroxide solution. The tube is rinsed using a sonicating wash performed in ultrapure water followed by drying. Also, the coil can be cleaned using acidic wash solution.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: December 29, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Harmeet Singh, Raphael Casaes, Duane Outka, Mohammad Kamarehi
  • Patent number: 7531047
    Abstract: The present disclosure provides a method of cleaning a semiconductor substrate after a DRIE etch process, wherein residue from the DRIE process is removed without damaging the substrate. The process may include contacting the micro-fluid ejection head with an aqueous solution of TMAH, stripping a photoresist etch mask from the micro-fluid ejection head, and dissolving a passivating coating from the substrate. Then the substrate may be contacted with an acidic solution. The method may further include rinsing and drying the substrate.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: May 12, 2009
    Assignee: Lexmark International, Inc.
    Inventors: Paul William Dryer, James Michael Mrvos, David Bruce Rhine
  • Publication number: 20090056764
    Abstract: A liquid processing apparatus 1 comprises a casing 5, a substrate holding mechanism 20 that holds a wafer (substrate to be processed) W, a process-liquid supplying mechanism 30 that supplies a process liquid, a draining cup 12 that receives a process liquid, and a draining pipe 13 that discharges a process liquid outside. The process-liquid supplying mechanism 30 includes a first chemical-liquid supply mechanism that supplies a hydrofluoric process liquid, and a drying-liquid supplying mechanism that supplies an organic solvent for drying a wafer W. A control part 50 causes the first chemical-liquid supplying mechanism to supply a hydrofluoric process liquid, and then causes the drying-liquid supplying mechanism to supply an organic solvent. In addition, before the control part 50 causes the drying-liquid supplying mechanism to supply an organic solvent, the control part causes a cleaning mechanism 10 to remove an alkaline component in a casing 5.
    Type: Application
    Filed: August 18, 2008
    Publication date: March 5, 2009
    Inventors: Teruomi Minami, Norihiro Ito, Yuji Kamikawa
  • Publication number: 20090000641
    Abstract: In one aspect, a method of cleaning an electronic device manufacturing process chamber part is provided, including a) spraying the part with an acid; b) spraying the part with DI water; and c) treating the part with potassium hydroxide. Other aspects are provided.
    Type: Application
    Filed: June 26, 2008
    Publication date: January 1, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Liyuan Bao, Ken Mun Loo, Samantha S.H. Tan
  • Patent number: 7462248
    Abstract: A method for cleaning a photomask includes cleaning the photomask with a chemical cleaner, introducing a solution to the photomask, the solution is configured to react with residuals generated from the chemical cleaner to form insoluble precipitates, and rinsing the photomask with a fluid to remove the insoluble precipitates from the photomask.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: December 9, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Cheng Chiu, Ching-Yu Chang
  • Publication number: 20080276970
    Abstract: An apparatus and method for treating subject materials with compositions includes a material treatment section for treating a subject material with a composition of a silane-containing material and a hydrocarbon solvent to form a treated material, and a neutralizing section for neutralizing the treated material such that the treated material has a pH in a range of approximately 7 to approximately 8.
    Type: Application
    Filed: May 9, 2007
    Publication date: November 13, 2008
    Inventors: John Christopher Cameron, Edwin A. Neal
  • Publication number: 20080236618
    Abstract: Methods of cleaning plasma processing chamber components include contacting surfaces of the components with a cleaning solution, while avoiding damage of other surfaces or areas of the components by the cleaning solution. An exemplary plasma processing chamber component to be cleaning is an elastomer bonded electrode assembly having a silicon member with a plasma-exposed silicon surface, a backing member, and an elastomer bonding material between the silicon surface and the backing member.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Applicant: Lam Research Corporation
    Inventors: Duane Outka, Jason Augustino, Armen Avoyan, Stephen Whitten, Hong Shih, Yan Fang
  • Patent number: 7410544
    Abstract: A method for cleaning a metal plating tank is provided herein. In accordance with the method, the tank is exposed to a first acid (103), after which the tank is exposed to a second acid in the presence of a first oxidizing agent (107).
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: August 12, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Sam S. Garcia, Edward Acosta, Varughese Mathew
  • Publication number: 20080185020
    Abstract: A surface treatment process for a metal article includes the following steps. Firstly, a metal article, made of at least one of copper and an alloy thereof, is provided. Secondly, a surface of the metal article is degreased. Thirdly, the surface of the metal article is activated in an acid solution. Finally, the surface of the metal article is deactivated by submersion in an antioxidant agent.
    Type: Application
    Filed: August 29, 2007
    Publication date: August 7, 2008
    Applicants: SHENZHEN FUTAIHONG PRECISION INDUSTRY CO., LTD., SUTECH TRADING LIMITED
    Inventors: JIANG-RONG DING, ZHENG-JIANG REN, HONG-HAI XU
  • Patent number: 7402212
    Abstract: A method for cleaning a glass substrate before photoresist coating, which method can remove oxide compounds and organic residues from the surface of a metal layer of the glass substrate, comprises the steps of firstly providing an alkaline developer in a concentration of between 0.35% and 0.45%; later immersing the metal film of the glass substrate in the alkaline developer; then rinsing the metal film of the glass substrate after immersed with clean water; and lastly having the surface of the metal film of the glass substrate in a dry treatment.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: July 22, 2008
    Assignee: Au Optronics Corp.
    Inventors: Wei-Ting Chen, Man-Hung Wu, Hung-Yi Cheng
  • Patent number: 7399366
    Abstract: Several products and processes for preventing the occurrence of rust stains resulting from irrigation systems using water having iron ions, such as well water, and for cleaning off rust stains resulting from the use of said irrigation systems are disclosed. In one embodiment, ammonium sulfate is mixed with well water and then this mixture is mixed with incoming well water being sprayed on the surfaces. In another embodiment, ammonium bisulfate is used for the removal of rust stains. Then ammonium sulfate is mixed with the incoming well water being sprayed in the next cycle, to prevent rust formation.
    Type: Grant
    Filed: May 1, 2007
    Date of Patent: July 15, 2008
    Inventors: Paul Wegner, Frank J. Benasutti
  • Publication number: 20080124598
    Abstract: A solid oxide fuel cell that is capable of increased power density is disclosed. A ceramic electrolyte comprising at least one surface, wherein at least a portion of at least one surface is substantially free of segregated impurities is also disclosed. A solid oxide fuel cell comprising an anode and a cathode, each comprising an active surface, and an electrolyte having a surface, wherein at least a portion of each of the cathode active surface, the anode active surface, and the electrolyte surface are substantially free of segregated impurities is also disclosed. Methods for removing at least a portion of a segregated impurity from a solid oxide fuel cell either prior to or during assembly, or after a period of fuel cell operation are also disclosed.
    Type: Application
    Filed: November 29, 2006
    Publication date: May 29, 2008
    Inventors: Monika Backhaus-Ricoult, Kimberley Louise Work
  • Patent number: 7377984
    Abstract: Disclosed herein is a method of cleaning a photomask, which prevents haze from being generated on a surface of the photomask during a photolithography process. The photomask is heat treated to remove residual ions on a surface thereof and to induce curing and oxidation of Cr and MoSiON layers, thereby preventing diffusion of the ions. Etching of Cr and MoSiON layers due to a cleaning process is suppressed in order to significantly reduce a change in phase and transmissivity of optical properties of Cr and MoSiON.
    Type: Grant
    Filed: January 18, 2007
    Date of Patent: May 27, 2008
    Assignee: PKL Co., Ltd.
    Inventors: Yong Dae Kim, Jong Min Kim, Han Byul Kang, Hyun Joon Cho, Sang Soo Choi
  • Patent number: 7368019
    Abstract: A technique is provided to precludes elution of the nickel by infallibly removing the nickel adhering to the inner surface of plumbing hardware, realize a treatment for efficient (treating temperature, treating duration, etc.) preclusion of both or either of lead and nickel and perform a neutralizing treatment on the varying fluid used in the treatment for precluding elution, thereby rendering the fluid usable as industrial water, permitting a generous cut in cost and allowing thorough observance of the influence on the environment.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: May 6, 2008
    Assignee: Kitz Corporation
    Inventor: Norikazu Sugaya