For Metallic, Siliceous, Or Calcareous Basework, Including Chemical Bleaching, Oxidation Or Reduction Patents (Class 134/2)
  • Patent number: 9029314
    Abstract: A warewashing detergent composition is provided for use for in cleaning of alkaline sensitive metals such as aluminum or aluminum containing alloys. The compositions include alternatives to sodium tripolyphosphate and/or other phosphorous containing raw materials, while retaining cleaning performance and corrosion prevention. According to the invention, high molecular weight polyacrylates (polyacrylic acid homopolymers) with a molecular weight of at least about 5000 are used as corrosion inhibitors and can be included for aluminum protection in a number of different detergent compositions.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: May 12, 2015
    Assignee: Ecolab USA Inc.
    Inventors: Erik C. Olson, Devon Beau Hammel
  • Publication number: 20150114429
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one corrosion inhibitor, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers.
    Type: Application
    Filed: May 17, 2013
    Publication date: April 30, 2015
    Applicants: ATMI Taiwan Co., Ltd., Advanced Technology Materials, Inc.
    Inventors: Shrane Ning Jenq, Karl E. Boggs, Jun Liu, Nicole Thomas
  • Patent number: 9011604
    Abstract: In a manufacturing method of a semiconductor device, a depression is formed in a semiconductor substrate made of silicon or silicon compound semiconductor, and foreign substances including a protection layer in the depression is removed with a cleaning solution. The cleaning solution includes a mixed solution of hydrogen peroxide water to which a chelator is added, a basic solution, and water.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: April 21, 2015
    Assignee: DENSO CORPORATION
    Inventors: Daigorou Yamaguchi, Yoshitaka Noda
  • Patent number: 8999069
    Abstract: A method for producing cleaning water for an electronic material, includes obtaining oxygen gas and argon gas from air with a PSA oxygen concentration apparatus, dissolving the oxygen gas and argon gas obtained from the PSA oxygen concentration apparatus in pure water or ultrapure water. A concentration of dissolved oxygen is in a range of 8 to 50 mg/L, and a content of dissolved argon gas is in a range of 2 to 50 volume % of a total amount of dissolved oxygen gas and the dissolved argon gas.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: April 7, 2015
    Assignee: Kurita Water Industries Ltd.
    Inventors: Junichi Ida, Hiroto Tokoshima
  • Publication number: 20150075559
    Abstract: Polysilicon fragments are purified to remove metal contaminates by contacting the fragments with a purifying liquid at a flow rate >100 mm/sec. Effective removal without abrasion is accomplished.
    Type: Application
    Filed: November 7, 2014
    Publication date: March 19, 2015
    Inventors: Hanns WOCHNER, Christian Gossmann, Herbert LINDNER
  • Patent number: 8974602
    Abstract: The present invention discloses a method of reducing contamination in a CVD chamber. The method comprises cleaning the CVD chamber with first cleaning gases containing NF3; removing the particles in the CVD chamber with second cleaning gases containing N2; further removing the particles in the CVD chamber with third cleaning gases containing O2; and seasoning an amorphous carbon layer with mixed gases containing C2H2 and an inert gas.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: March 10, 2015
    Assignee: Shanghia Huali Microelectronics Corporation
    Inventors: Yadan Zhu, Jun Zhou
  • Publication number: 20150059802
    Abstract: The disclosure relates generally to methods for removing coatings and bond coats of ceramic matrix composites. More specifically, the disclosure relates to, for example, methods of removing a bond coat from a ceramic matrix composite by contacting a ceramic matrix composite with at least one hydroxide at particular temperatures and removing the bond coat from said ceramic matrix composite.
    Type: Application
    Filed: August 30, 2013
    Publication date: March 5, 2015
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Jared WEAVER, Daniel Gene DUNN
  • Publication number: 20150053234
    Abstract: The present invention is directed to a method for cleaning a semiconductor wafer which comprises filling a cleaning solution containing ammonia and aqueous hydrogen peroxide in a cleaning tank comprising a synthetic quartz material with an average Al concentration of 1 ppb or less, immersing the above-mentioned semiconductor wafer in the above-mentioned cleaning solution, and cleaning the above-mentioned semiconductor wafer so that a surface etching rate of the above-mentioned synthetic quartz by the above-mentioned cleaning solution becomes 0.3 nm/min or less, and according to this method, a cleaning method which can maintain the Al concentration in the ammonia and per-water cleaning solution to a low concentration and can improve surface cleanliness of the semiconductor wafer is provided.
    Type: Application
    Filed: April 18, 2013
    Publication date: February 26, 2015
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Tatsuo Abe, Hitoshi Kabasawa
  • Patent number: 8956462
    Abstract: The objects of the present invention are to provide a treatment liquid able to inhibit pattern collapse in a microstructure such as a semiconductor device or a micromachine, as well as a method of manufacturing a microstructure using the same. Means to solve the problems is to treat a microstructure with a treatment liquid for inhibiting pattern collapse in a metal microstructure comprising an alkylphosphonic acid or salt thereof in which said alkyl moiety contains 6 to 18 carbon atoms, water, and a water soluble solvent.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: February 17, 2015
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Hiroshi Matsunaga, Kimihiro Aoyama
  • Patent number: 8957005
    Abstract: A silicon wafer cleaning agent includes at least a water-based cleaning liquid, and a water-repellent cleaning liquid for providing water-repellent to an uneven pattern at least at recessed portions during a cleaning process. The water-repellent cleaning liquid is a liquid composed of a water-repellent compound containing a reactive moiety which is chemically bondable to Si in the silicon wafer, and a hydrophobic group, or is a liquid wherein 0.1 mass % or more of the water-repellent compound relative to the total quantity of 100 mass % of the water-repellent cleaning liquid and an organic solvent are mixed and contained therein. A cleaning process wherein a pattern collapse is easily induced can be improved by using the cleaning agent.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: February 17, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Soichi Kumon, Masanori Saito, Takashi Saio, Hidehisa Nanai, Yoshinori Akamatsu
  • Publication number: 20150037606
    Abstract: An electrolytic copper foil includes a copper foil body; and a IIA-group metal adhered to a surface of the copper foil body, wherein a signal strength of the IIA group metal is greater than 0.1% based on a signal strength of copper element as 100% analyzed by a secondary ion mass spectrometer. The present invention also provides a method for cleaning copper foil and a cleaning fluid composition which is used in the cleaning method.
    Type: Application
    Filed: October 4, 2013
    Publication date: February 5, 2015
    Applicant: Chang Chun Petrochemical Co., Ltd.
    Inventors: Kuei-Sen CHENG, Yao-Sheng LAI, Tsang-Jin JUO, Jui-Chang CHOU, Hsi-Hsing LO, Yueh-Min LIU
  • Patent number: 8945939
    Abstract: The invention is directed towards methods and compositions for identifying the amount of hydrofluoric acid in a buffered oxide etching composition. In buffered oxide etching compositions it is very difficult to measure the amount of hydrofluoric acid because it has varying equilibriums and it is toxic so it hard to handle and sample. When used to manufacture microchips however, incorrect amounts of hydrofluoric acid will ruin those chips. The invention utilizes a unique method of spectrographically measuring the hydrofluoric acid when in contact with added chromogenic agents to obtain exact measurements that are accurate, immediate, and safe.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: February 3, 2015
    Assignee: Ecolab USA Inc.
    Inventors: Amy M. Tseng, Brian V. Jenkins, Robert Mack
  • Patent number: 8940103
    Abstract: Provided is a method for a resist removal system comprising a processing chamber and treatment liquid delivery system for single substrate processing. A primary stripping chemical is flowed in the treatment liquid delivery system at a primary temperature and flow rate; a secondary stripping chemical is injected at a first mixing point at a secondary temperature and flow rate. A tertiary stripping chemical is injected at a second mixing point at a tertiary temperature and a tertiary flow rate. The treatment liquid is dispensed onto a portion of the surface of the substrate wherein one or more of the primary temperature, secondary temperature, tertiary temperature, the primary flow rate, the secondary flow rate, and the tertiary flow rate are adjusted to meet a target strip rate and selectivity of strip over etch of silicon nitride and silicon oxide.
    Type: Grant
    Filed: March 6, 2012
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Ian J. Brown
  • Patent number: 8940178
    Abstract: A method of texturizing a silicon substrate comprising a) contacting the substrate with an etching solution comprising glycolic acid, b) etching a surface of the substrate thereby forming disruptions in said surface of the substrate, and c) removing the etching solution to yield a texturized substrate, said texturized substrate having a plurality of disruptions in at least one surface with a surface density of disruptions of a minimum of 60 disruptions in a 400 micron square area.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: January 27, 2015
    Assignee: E I du Pont de Nemours and Company
    Inventors: Seung Jin Lee, Hee Soo Yeo
  • Patent number: 8936686
    Abstract: A method of selecting a mercury-contaminated solid surface followed by heating a cleaning solution to a temperature less than 100° C. The cleaning solution can contain hydrocarbon oil and one or more organic sulfur compounds. Afterwards, the heated cleaning solution is contacted with the mercury-contaminated solid surface to remove the mercury contamination.
    Type: Grant
    Filed: September 8, 2011
    Date of Patent: January 20, 2015
    Assignee: ConocoPhillips Company
    Inventors: Charles John Lord, III, Lars Torsten Lambertsson, Erik Lennart Bjorn, Wolfgang Frech
  • Patent number: 8932874
    Abstract: The invention is directed towards methods and compositions for identifying the amount of ammonium acid in a buffered oxide etching composition. In buffered oxide etching compositions it is very difficult to measure the amount of ammonium acid because it has varying equilibriums and it is toxic so it hard to handle and sample. When used to manufacture microchips however, incorrect amounts of ammonium acid will ruin those chips. The invention utilizes a unique method of spectrographically measuring the ammonium acid when in contact with added chromogenic agents to obtain exact measurements that are accurate, immediate, and safe.
    Type: Grant
    Filed: July 2, 2014
    Date of Patent: January 13, 2015
    Assignee: Nalco Company
    Inventors: Amy M. Tseng, Brian V. Jenkins, Robert M. Mack
  • Patent number: 8926757
    Abstract: The plasma reactor defines a reaction chamber provided with a support for the metallic pieces and an anode-cathode system, and a heating means is mounted externally to said plasma reactor. The plasma process, for a cleaning operation, includes the steps of connecting the support to the grounded anode and the cathode to a negative potential of a power source; feeding an ionizable gaseous charge into the reaction chamber and heating the latter at vaporization temperatures of piece contaminants; applying an electrical discharge to the cathode; and providing the exhaustion of the gaseous charge and contaminants. A subsequent heat treatment includes the steps of: inverting the energization polarity of the anode-cathode system; feeding a new gaseous charge to the reaction chamber and maintaining it heated; applying an electrical discharge to the cathode; and exhausting the gaseous charge from the reaction chamber.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: January 6, 2015
    Assignee: Whirlpool S. A.
    Inventors: Roberto Binder, Aloisio Nelmo Klein, Cristiano Binder, Gisele Hammes
  • Patent number: 8926759
    Abstract: An object of the invention is to remove effectively metallic contaminants adhering to the glass substrate surfaces without increasing roughness of the glass substrate surfaces in the glass substrate for a magnetic disk. In a manufacturing method of a glass substrate for a magnetic disk, a cleaning step comprising a treatment of contacting the glass substrate with a cleaning liquid containing peroxodisulfate and having a pH of not less than 2 and not more than 4 is appended. In addition, an example of the cleaning liquid can be prepared by adding sodium peroxodisulfate to an acidic solution.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: January 6, 2015
    Assignee: Hoya Corporation
    Inventors: Takuhiro Hirakawa, Yasunari Hirano, Kouichi Tamoto, Tomoyuki Yamaguchi
  • Publication number: 20150000695
    Abstract: A method for cleaning an interior of a process chamber after performing a process of forming a carbon-containing film on a substrate in the process chamber includes performing a cycle a predetermined number of times. The cycle includes supplying a modifying gas into the process chamber to modify deposits including the carbon-containing film deposited on a surface of a member in the process chamber and supplying an etching gas into the process chamber to remove the modified deposits through a thermochemical reaction.
    Type: Application
    Filed: March 31, 2014
    Publication date: January 1, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki NODA, Shingo NOHARA, Yoshiro HIROSE
  • Publication number: 20150000697
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Application
    Filed: July 1, 2014
    Publication date: January 1, 2015
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Publication number: 20150005219
    Abstract: Use of a compound of Formula (I) as a metal surface corrosion activator, a formulation containing the same compound and a process by using the same compound for providing a clean metal surface. Said formulation may further comprise water, a solvent such as a dipolar aprotic solvent, a water miscible organic solvent, or an organic base.
    Type: Application
    Filed: December 20, 2011
    Publication date: January 1, 2015
    Applicants: RHODIA OPERATIONS, SOLVAY (CHINA) CO., LTD.
    Inventors: Fabien Bellenger, Cheng Shen, Claude D. Mercier
  • Patent number: 8920569
    Abstract: A method for quickly removing pollutants adhered to a predetermined optical member in an optical system. To remove the pollutants adhered to a lens (32A) disposed at the upper end of a projection optical system (PL), a cylindrical protecting member (53) is disposed through the openings in a reticle stage (22) and a reticle base (23). The bottom surface of a support section (56) at the tip section of a rod section (58) is brought into contact with the surface of the lens (32A) through the inner surface of the protecting member (53). A wiping cloth soaked with a washing solution containing hydrofluoric acid is attached to the bottom surface of the support section (56). The support section (56) is reciprocated via the rod section (58) to remove the pollutants on the lens (32A) with the wiping cloth.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: December 30, 2014
    Assignee: Nikon Corporation
    Inventors: Shunji Watanabe, Masato Hamatani, Tatsuya Kitamoto
  • Publication number: 20140352716
    Abstract: In a dry etching method for etching a metal film formed on a substrate by use of etching gas containing ?-diketone, the metal film contains at least one metal material that forms a penta- or hexa-coordinated complex structure with ?-diketone; the etching gas containing ?-diketone contains at least one additive among H2O or H2O2; and the additive is contained at a volume concentration of 1% or greater and 20% or less.
    Type: Application
    Filed: May 29, 2014
    Publication date: December 4, 2014
    Applicant: CENTRAL GLASS COMPANY, LIMITED
    Inventors: Akiou KIKUCHI, Yuta TAKEDA
  • Patent number: 8898930
    Abstract: The aim of the invention is to provide a method for the treatment of a transport support (1) for the conveyance and storage of semiconductor substrates, with said support (1) possibly having first undergone a cleaning operation using a liquid. The method includes a treatment stage in which the transport support (1) is placed in a sealed chamber (4) connected to a vacuum pump (5) and said transport support (1) is subjected to the combined action of a subatmospheric pressure and infrared radiation to favor the removal of foreign bodies on the walls of the transport support (1). The invention also concerns a treatment station for a transport support (1) for implementation of the method.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: December 2, 2014
    Assignee: Alcatel Lucent
    Inventors: Erwan Godot, Remi Thollot, Amaud Favre
  • Patent number: 8900472
    Abstract: A liquid agent for the surface treatment of monocrystalline wafers, which contains an alkaline etching agent and also at least one low-volatile organic compound. Systems of this type can be used both for the cleaning, damage etch and texturing of wafer surfaces in a single etching step and exclusively for the texturing of silicon wafers with different surface quality, whether it now be wire-sawn wafers with high surface damage or chemically polished surfaces with minimum damage density.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: December 2, 2014
    Assignee: Fraunhofer-Gesellschaft zur Föerderung der angewandten Forschung E.V.
    Inventors: Kuno Mayer, Mark Schumann, Daniel Kray, Teresa Orellana Peres, Jochen Rentsch, Martin Zimmer, Elias Kirchgässner, Eva Zimmer, Daniel Biro, Arpad Mihai Rostas, Filip Granek
  • Patent number: 8894774
    Abstract: A composition of matter and method to remove excess material during the manufacturing of semiconductor devices includes providing a substrate; applying a metal chelator mixture to the substrate, where the metal chelator mixture comprising a metal chelator and a solvent, where the metal chelator binds to the platinum residue, to render the platinum residue soluble; and rinsing the metal chelator mixture from the substrate to remove the platinum residue from the silicide.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: November 25, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Anh Duong
  • Patent number: 8876978
    Abstract: An object is to reduce changes in mechanical properties of a gas turbine blade base material during repair or regeneration of a gas turbine blade. For this purpose, a gas turbine blade after being operated is washed by being immersed into a strong alkaline washing solution, and the gas turbine blade after being washed with the strong alkaline washing solution is washed with water. The gas turbine blade after being washed with water is then washed by being immersed into a weak acid washing solution, and the gas turbine blade after being washed with the weak acid washing solution is subjected to heat treatment. The gas turbine blade after the heat treatment is then immersed into a strong acid washing solution, whereby the coating formed on the surface of the gas turbine blade is removed.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: November 4, 2014
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Tetsuji Kawakami, Ikumasa Koshiro, Rumi Haruna, Yoshitaka Uemura
  • Patent number: 8871108
    Abstract: A method of removing carbon materials, preferably amorphous carbon, from a substrate includes dispensing a liquid sulfuric acid composition including sulfuric acid and/or its desiccating species and precursors and having a water/sulfuric acid molar ratio of no greater than 5:1 onto an material coated substrate in an amount effective to substantially uniformly coat the carbon material coated substrate. The liquid sulfuric acid composition is exposed to water vapor in an amount effective to increase the temperature of the liquid sulfuric acid composition above the temperature of the liquid sulfuric acid composition prior to exposure to the water vapor. In preferred embodiments, amorphous carbon is selectively removed as compared to a silicon oxide (e.g., silicon dioxide) and/or silicon nitride.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: October 28, 2014
    Assignee: TEL FSI, Inc.
    Inventor: Jeffrey M. Lauerhaas
  • Patent number: 8864915
    Abstract: A method of processing a substrate having a transparent conductive oxide disposed thereon, including: exposing the substrate to a first cleaning solution comprising hydrogen peroxide and ammonium citrate; exposing the substrate to a second cleaning solution having a pH within a range from about 6 to about 7, the second cleaning solution different than the first cleaning solution; agitating the second cleaning solution; and depositing a silicon-containing film on the transparent conductive oxide.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: October 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Renhe Jia, Adam Brand, Liming Zhang, Dapeng Wang, Tzay-Fa Su, Vijay Parihar
  • Patent number: 8834635
    Abstract: The present invention relates to a new degreasing composition comprising at least one levulinic acid ester according to general formula (I) CH3CO(CH2)2COOR1 (I) in which R1 is a linear or branched, saturated or unsaturated, aliphatic or aromatic, hydrocarbon radical having 2 to 56 carbon atoms, wherein said hydrocarbon radical is optionally hydroxysubstituted. The present invention also relates to a process for degreasing a metal surface comprising the step of contacting said metal surface with the composition of the present invention.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: September 16, 2014
    Assignee: Institut Univ. de Ciencia Tecnologia, S.A.
    Inventors: Natividad Bayarri Ferrer, Lidia Galià Prats, Carles Estévez Company, Josep Castells Boliart
  • Patent number: 8826926
    Abstract: Some embodiments include methods for removing an edge bead after a photoresist application. Basic solution may be applied to the edge bead and removed with a vacuum, with the basic solution having a pH of at least about 14. Some embodiments include methods of profiling coatings along peripheral regions of substrates. A nozzle may be positioned above a peripheral region and oriented to direct a basic solution downwardly toward the peripheral region. A suction port may be positioned laterally outward of the peripheral region. Basic solution may be ejected from the nozzle toward the peripheral region, with the basic solution having a pH of at least about 14 and dissolving coating along the peripheral region. A vacuum may be provided within the suction port to pull the basic solution and the dissolved coating from the peripheral region.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: September 9, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Michael E. Chalom, Thomas U. Boettiger
  • Publication number: 20140235903
    Abstract: The present invention relates, in part, to the discovery that the presence of impurities in a reactor for dehydrochlorinating HCFC-244bb to HFO-1234yf results in selectivity changeover from HFO-1234yf to HCFO-1233xf. By substantially removing such impurities, it is shown that the selectivity to HFO-1234yf via dehydrochlorination of HCFC-244bb is improved.
    Type: Application
    Filed: October 10, 2012
    Publication date: August 21, 2014
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Haiyou Wang, Hsueh Sung Tung
  • Patent number: 8809249
    Abstract: A detergent composition for preventing scale on various surfaces includes a threshold system, a caustic and a surfactant system. The threshold system includes a sulfonate/acrylate copolymer, an acrylate homopolymer and a phosphonoalkane carboxylic acid with a sulfonate/acrylate copolymer to acrylate homopolymer weight ratio of between about 2:1 to about 1:2. The pH of the detergent composition is between about 10 and about 13.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: August 19, 2014
    Assignee: Ecolab USA Inc.
    Inventors: Carter M. Silvernail, Erik C. Olson, Elizabeth R. Kiesel
  • Patent number: 8801867
    Abstract: A method for cleaning process apparatus used for production of liquids, especially for cleaning filters, for example membrane filters. The apparatus is contacted with a solution of periodate. It is especially preferred that the cleaning process is carried out at a temperature between 15 and 95° C.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: August 12, 2014
    Assignee: X-Flow B.V.
    Inventors: Arie Cornelis Besemer, Elmar Van Mastrigt, André Mepschen
  • Patent number: 8790465
    Abstract: One embodiment of the present invention is a method of fabricating an integrated circuit. The method includes providing a substrate having a metal and dielectric damascene metallization layer and depositing substantially on the metal a cap. After deposition of the cap, the substrate is cleaned with a solution comprising an amine to provide a pH for the cleaning solution of 7 to about 13. Another embodiment of the presented invention is a method of cleaning substrates. Still another embodiment of the present invention is a formulation for a cleaning solution.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: July 29, 2014
    Assignee: Lam Research Corporation
    Inventors: Artur Kolics, Shijian Li, Tiruchirapalli Arunagiri, William Thie
  • Patent number: 8790470
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: July 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Taek Lim, Ha-Soo Hwang, Haldorai Yuvaraj, Jae-Mok Jung
  • Patent number: 8769749
    Abstract: A cleaning device for cleaning a mixing vaporizer is provided. The mixing vaporizer includes a first hole that a raw material flows in therethrough, a second hole that carrier gas flows in therethrough, a mixing space that generates mixed gas by mixing the raw material and the carrier gas, a carrier gas nozzle that is connected to the second hole for supplying the carrier gas to the mixing space, and a third hole that discharges the mixed gas. The cleaning device cleans the mixing vaporizer by circulating a cleaning solution through at least one the first hole, the second hole, and the third hole.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: July 8, 2014
    Assignee: STS Co., Ltd.
    Inventor: Dong Young Ryou
  • Patent number: 8771593
    Abstract: Disclosed is a method of controlling a real-time oxidation-reduction potential in a hot water system to inhibit corrosion in the hot water system. The method includes defining one or more operational protective zones in the hot water system. One or more of the operational protective zones includes an oxidation-reduction potential probe that is operable to measure a real-time oxidation-reduction potential in the hot water system at operating temperature and pressure. The probe transmits the measured real-time potential to the controller, which assesses and interprets the transmitted potential to determine whether it conforms to an oxidation-reduction potential setting. If the measured potential does not conform the oxidation-reduction potential setting, the controller is operable to feed or remove one or more active chemical species into or from the hot water system and further operable to change at least one system parameter.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: July 8, 2014
    Assignee: Nalco Company
    Inventors: Peter D. Hicks, David A. Grattan
  • Publication number: 20140182620
    Abstract: An acetal-based composition is described which includes an acetal of formula R—O—CH2—O—R?. R and R? are mutually independent alkyl or alkoxyalkyl substituents with at least three carbon atoms. The composition is useful as a thinner and/or a detergent and/or a stripper. The composition may be used to clean surfaces which are metal, glass or wood and is particularly well adapted for use in the automotive industry. The thinning/cleaning/stripping composition may be stored in a polyethylene container.
    Type: Application
    Filed: April 16, 2013
    Publication date: July 3, 2014
    Applicant: Woceb bvba
    Inventor: Bruce Lanhove
  • Patent number: 8764907
    Abstract: A method for servicing a plasma processing system. The plasma processing system may include a plasma chamber. The plasma chamber may include a top piece and a bottom piece, wherein the top piece may be disposed above the bottom piece. The method may include using a robot device to control a lift mechanism to lift the top piece from the bottom piece. The method may also include extending a first member of the robot device into the top piece to perform a first set of tasks according to a first set of service procedures. The method may also include extending a second member of the robot device into the bottom piece to perform a second set of tasks according to a second set of service procedures.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: July 1, 2014
    Assignee: Lam Research Corporation
    Inventor: Andrew D. Bailey, III
  • Patent number: 8764906
    Abstract: A method and cleaning solution for cleaning electronic substrates, such as a semiconductor wafers, hard disks, photomasks or imprint molds. The method comprises the steps of contacting a surface of the substrate with a cleaning solution comprised of a polyphosphate, and then removing the cleaning solution from the surface. Additional optional steps include applying acoustic energy to the cleaning solution while the cleaning solution is in contact with the surface, and removing the cleaning solution from the surface by rinsing the surface with a rinsing solution with or without the application of acoustic energy. The cleaning solution comprises a polyphosphate, such as any of the water soluble polyphosphates. Depending on the application, the cleaning solution may also comprise a base and/or a quantity of suspended particles. Complexing agents, amines, biocides, surfactants and/or other substances, may also be added to the cleaning solution.
    Type: Grant
    Filed: December 24, 2012
    Date of Patent: July 1, 2014
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 8758521
    Abstract: A semiconductor substrate cleaning method includes cleaning a semiconductor substrate formed with a line-and-space pattern, rinsing the substrate, supplying the rinse water to rinse the substrate, and drying the substrate. The rinsing includes supplying deionized water and hydrochloric acid into a mixing section to mix the deionized water and the hydrochloric acid into a mixture, heating the mixture in the mixing section by a heater, detecting a pH value and a temperature of the mixture by a pH sensor and a temperature sensor respectively, adjusting an amount of hydrochloric acid supplied into the mixing section so that the rinse water has a predetermined pH value indicative of acidity, and energizing or de-energizing the heater so that the temperature of the mixture detected by the temperature sensor reaches a predetermined temperature, thereby producing the rinse water which has a temperature of not less than 70° C. and is acidic.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: June 24, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshihiro Ogawa, Hajime Onoda, Hiroshi Kawamoto
  • Patent number: 8753896
    Abstract: A method of monitoring a surfactant in a microelectronic process is disclosed. Specifically, the monitoring of a surfactant occurs by studying the fluorescence or electromagnetic emission of a sample collected from a microelectronic process.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: June 17, 2014
    Assignee: Nalco Company
    Inventors: Brian V. Jenkins, John E. Hoots, Amy M. Tseng
  • Patent number: 8747564
    Abstract: A residue-removing solution for removing residues after a dry process, which includes an amine salt of a monocarboxylic acid and/or a salt of a polycarboxylic acid that forms a 7- or more-membered ring chelate with copper, and water, the residue-removing solution containing aqueous solution (A) or (B) as described herein. Also disclosed is a method for removing residues present on a semiconductor substrate after dry etching and/or ashing. Further, a method for manufacturing semiconductor devices is further disclosed, which includes subjecting a semiconductor substrate having Cu as an interconnect material, and a low dielectric constant film as an interlayer dielectric material, to dry etching and/or ashing; and bringing the processed semiconductor substrate into contact with the above residue-removing solution.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: June 10, 2014
    Assignee: Daikin Industries, Ltd.
    Inventor: Shingo Nakamura
  • Patent number: 8747519
    Abstract: A method selectively recovers a useful substance from waste including a chlorine-containing synthetic resin and metal copper in a mixed state. Metal copper is recovered from coated copper-wire waste. The method includes the steps of heat-treating the waste in form of coated copper wires, each having a coating material made of a chlorine-containing synthetic resin, in oil or under a non-oxygen condition. Here, the coating material is carbonized and a chlorine content of the coating material is reduced. Then the coating material and the copper wire are separated from each other the copper wire is recovered. The chlorine-containing synthetic resin can be treated without generating dioxin.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: June 10, 2014
    Assignees: Institute of National Colleges of Technology, Japan, Polytech Kagawa, Co., Ltd.
    Inventors: Hiroshi Okano, Gou Sajiki, Mitsunori Deguchi, Sadao Tanimoto
  • Patent number: 8741071
    Abstract: A process for treating the surface of a substrate in the manufacture of a semiconductor device. The process comprises providing a concentrated acid or base, a peroxide and water, and delivering the acid or base, the peroxide and the water to the surface of the substrate. The acid or base and the water are delivered separately to the surface of the substrate and allowed to mix on the surface, and the water is delivered in pulses. The present invention also provides an apparatus adapted to carry out this process.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: June 3, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Tony Vessa
  • Patent number: 8741066
    Abstract: A process/method for cleaning wafers that eliminates and/or reduces pitting caused by standard clean 1 by performing a pre-etch and then passivating the wafer surface prior to the application of the standard clean 1. The process/method may be especially useful for advanced front end of line post-CPM cleaning. In one embodiment, the invention is a method of processing a substrate comprising: a) providing at least one substrate; b) etching a surface of the substrate by applying an etching solution; c) passivating the etched surface of the substrate by applying ozone; and d) cleaning the passivated surface of the substrate by applying an aqueous solution comprising ammonium hydroxide and hydrogen peroxide.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: June 3, 2014
    Inventors: Ismail Kashkoush, Thomas Nolan, Dennis Nemeth, Richard Novak
  • Patent number: 8742381
    Abstract: A radiation source includes an uncapped Mo/Si multilayer mirror, and a cleaning apparatus configured to remove a deposition comprising Sn on the uncapped Mo/Si multilayer mirror. The cleaning apparatus is configured to provide a gas comprising one or more of H2, D2 and HD and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the radiation source, to produce hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas, and to supply the hydrogen and/or deuterium radicals and radicals of the one or more additional compounds to the uncapped Mo/Si multilayer mirror to remove at least part of the deposition.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: June 3, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Maarten Marinus Johannes Wilhelmus Van Herpen, Wouter Anthon Soer, Martin Jacobus Johan Jak
  • Patent number: 8741070
    Abstract: Disclosed are a liquid processing method, a liquid processing apparatus, and a recording medium that can prevent convex portions of a target substrate from collapsing when a rinsing liquid is dried. A base surface of a target substrate is hydrophilized and the surfaces of convex portions become water-repellent by surface-processing the target substrate which includes a main body, a plurality of convex portions protruding from the main body, and a base surface formed between the convex portions on the substrate main body. Next, a rinsing liquid is supplied to the target substrate which has been subjected to the surface processing. Thereafter, the rinsing liquid is removed from the target substrate.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Nobutaka Mizutani, Tsutae Omori, Takehiko Orii, Akira Fujita
  • Patent number: 8734889
    Abstract: The invention relates to a ceramic implant, especially a dental implant, comprising a structured or porous surface for at least partially inserting into a bone. An especially advantageous surface is obtained when it is at least partially modified by a salt melt. These excellent osteointegration properties can be obtained by a method whereby the surface is modified in a salt melt at least in the regions exposed to the bones and/or soft tissue, optionally following a previous modification of the surface whereby material has been removed.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: May 27, 2014
    Assignee: Thommen Medical AG
    Inventors: Falko Schlottig, Thomas Hefti