Including Acidic Agent Patents (Class 134/3)
  • Patent number: 10156012
    Abstract: There is provided a cleaning method improving cleaning efficiency in a process container after an oxygen-containing film forming process is having performed, including: (a) supplying at least a hydrogen fluoride gas into the process container; and (b) supplying an alcohol into the process container in a state where supply of the hydrogen fluoride gas into the process container is stopped, wherein (a) and (b) are continuously performed without providing an intermittent period therebetween.
    Type: Grant
    Filed: September 5, 2017
    Date of Patent: December 18, 2018
    Assignees: KOKUSAI ELECTRIC CORPORATION, CENTRAL GLASS CO., LTD.
    Inventors: Kenji Kameda, Masaya Nagato, Akiou Kikuchi, Yuta Takeda, Kunihiro Yamauchi
  • Patent number: 10153153
    Abstract: An etching fault is suppressed by use of an etching gas containing iodine heptafluoride. Provided is an attached substance removing method of removing an attached substance containing an iodine oxide attached to a component included in a chamber or a surface of a pipe connected with the chamber by use of a cleaning gas containing a fluorine-containing gas. Also provided is a dry etching method, including the steps of supplying an etching gas containing an iodine-containing gas into a chamber to perform etching on a surface of a substrate; and after the etching is performed on the surface of the substrate, removing an attached substance containing an iodine oxide attached to a component included in the chamber or a surface of a pipe connected with the chamber by use of a cleaning gas containing a fluorine-containing gas.
    Type: Grant
    Filed: March 13, 2017
    Date of Patent: December 11, 2018
    Assignee: CENTRAL GLASS COMPANY, LIMITED
    Inventors: Akiou Kikuchi, Masanori Watari, Kenji Kameda, Shin Hiyama, Yasutoshi Tsubota
  • Patent number: 10121647
    Abstract: An etching fault is suppressed by use of an etching gas containing iodine heptafluoride. Provided is an attached substance removing method of removing an attached substance containing an iodine oxide attached to a component included in a chamber or a surface of a pipe connected with the chamber by use of a cleaning gas containing a fluorine-containing gas. Also provided is a dry etching method, including the steps of supplying an etching gas containing an iodine-containing gas into a chamber to perform etching on a surface of a substrate; and after the etching is performed on the surface of the substrate, removing an attached substance containing an iodine oxide attached to a component included in the chamber or a surface of a pipe connected with the chamber by use of a cleaning gas containing a fluorine-containing gas.
    Type: Grant
    Filed: March 13, 2017
    Date of Patent: November 6, 2018
    Assignee: CENTRAL GLASS COMPANY, LIMITED
    Inventors: Akiou Kikuchi, Masanori Watari, Kenji Kameda, Shin Hiyama, Yasutoshi Tsubota
  • Patent number: 10094025
    Abstract: A mechanical component for an internal combustion engine includes a mechanical component body made of one of aluminum and aluminum alloy and used for the internal combustion engine, a nickel plating layer formed to cover a surface of a predetermined portion of the mechanical component body, and a reforming layer formed between the surface of the predetermined portion of the mechanical component body and the nickel plating layer.
    Type: Grant
    Filed: June 5, 2017
    Date of Patent: October 9, 2018
    Assignee: AISIN SEIKI KABUSHIKI KAISHA
    Inventors: Hajime Minaki, Hiroaki Sasayama, Akihiro Okamoto, Takuya Niimi
  • Patent number: 10034387
    Abstract: Processes for recycling printed wire boards using environmentally-friendly compositions, wherein electronic components, precious metals and base metals may be collected for reuse and recycling.
    Type: Grant
    Filed: December 8, 2015
    Date of Patent: July 24, 2018
    Assignee: Entegris, Inc.
    Inventors: André Brosseau, Svitlana Grigorenko, Ping Jiang, Michael B. Korzenski
  • Patent number: 10030216
    Abstract: Systems and methods for formulating and utilizing chemical gel formulations, particularly with respect to cooling tower fill cleaning operations.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: July 24, 2018
    Assignee: Crossford International, LLC
    Inventors: Timothy J. Kane, Joseph J. Franzino, Pete Gentile, Mark Rothenhausen, Ray Field
  • Patent number: 10015876
    Abstract: The object of the present invention is to provide a printed circuit board that improves the heat radiating effect as the entire printed circuit board and a manufacturing method for such a printed circuit board.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: July 3, 2018
    Assignee: TANAZAWA HAKKOSHA CO., LTD.
    Inventors: Keiichiro Yamamoto, Kazuhiro Sasamoto
  • Patent number: 10012455
    Abstract: A system for descaling heat exchanger surfaces using a varying concentration of either an acidic or alkaline solution, selecting an optimum pH value for descaling a heat exchanger according to the level of cleaning the heat exchanger requires, monitoring pH value of descaling solution during circulation through a heat exchanger, and adding chemical solution to achieve coincidence of optimum and monitored pH values during descaling operation.
    Type: Grant
    Filed: January 2, 2015
    Date of Patent: July 3, 2018
    Inventors: Joseph J. Franzino, George M Cruz
  • Patent number: 10007184
    Abstract: Wet-strippable underlayer compositions comprising one or more silicon-containing polymers comprising a backbone comprising Si—O linkages, one or more organic blend polymers, and a cure catalyst are provided. These compositions are useful in the manufacture of various electronic devices.
    Type: Grant
    Filed: September 1, 2016
    Date of Patent: June 26, 2018
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Li Cui, Charlotte A. Cutler, Suzanne M. Coley, Owendi Ongayi, Christopher P. Sullivan, Paul J. LaBeaume, Shintaro Yamada, Mingqi Li, James F. Cameron
  • Patent number: 9937602
    Abstract: In a substrate processing method according to an embodiment, a surface of an object to be polished disposed on a substrate is polished on a polishing pad supplied with slurry. After the polishing process using the slurry, the surface of the object to be polished on the polishing pad is polished, while supplying water on the polishing pad where a residue including the slurry or a sludge of the polishing pad adhered. After the polishing process using the water, the surface of the object to be polished is cleaned on the polishing pad by supplying rinse liquid on the polishing pad.
    Type: Grant
    Filed: September 9, 2016
    Date of Patent: April 10, 2018
    Assignee: Toshiba Memory Corporation
    Inventors: Yosuke Otsuka, Masako Kodera, Yukiteru Matsui
  • Patent number: 9893016
    Abstract: A multilayer wiring board includes a main wiring board including insulation layers, first via conductors formed in the insulation layers, and a first conductive layer including first mounting pads such that the first mounting pads are positioned to mount a first electronic component and a second electronic component adjacent to each other on the main wiring board, and a wiring structure body mounted on the main wiring board such that the wiring structure body is positioned in an outermost insulation layer of the insulation layers, the wiring structure body including a second conductive layer which includes second mounting pads such that the second mounting pads are positioned to connect to the first electronic component and the second electronic component mounted on the main wiring board. The first via conductors are formed such that the first via conductors have diameters which increase in a same direction.
    Type: Grant
    Filed: October 12, 2015
    Date of Patent: February 13, 2018
    Assignee: IBIDEN CO., LTD.
    Inventors: Hajime Sakamoto, Yoshinori Shizuno, Shigeru Yamada, Takashi Kariya
  • Patent number: 9889631
    Abstract: The present disclosure relates generally to strip process for removing a coating from a substrate comprising the steps of: providing a base alloy and a MCrAlY coating deposited over the base alloy substrate; and removing the MCrAlY coating by bringing the MCrAlY coating in contact with an acid solution comprising nitric acid, phosphoric acid and ammonium bifluoride in an aqueous solution, and maintaining the acid solution contact for sufficient time and at sufficient temperature to permit the coating to be stripped from the base alloy substrate.
    Type: Grant
    Filed: August 19, 2015
    Date of Patent: February 13, 2018
    Assignee: UNITED TECHNOLOGIES CORPORATION
    Inventors: Eric W. Stratton, Michael J. Minor
  • Patent number: 9882031
    Abstract: A semiconductor structure includes a substrate and a fin. The fin extends from the substrate and is formed with a hole therethrough. The hole is defined by a confronting pair of wall parts. One of the wall parts is more arcuate than the other of the wall parts. A method for fabricating the semiconductor structure is also disclosed.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: January 30, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chia-Cheng Tai, Chun-Liang Tai
  • Patent number: 9875916
    Abstract: Provided is a method and system for stripping an ion implanted resist or performing a post-ash clean using a single substrate tool. Cleaning objectives and cleaning operating variables are selected for optimization. The first step immerses the substrate in a first treatment chemical, while concurrently irradiating the substrate with UV light, the process completed in a first process time, a first flow rate, and a first rotation speed of the substrate. The second step dispenses onto the substrate a second treatment chemical at a second temperature and a second composition, the second treatment chemical dispensed at a dispense temperature, and completed in a second process time and a second rotation speed. The two or more selected cleaning operating variables comprise UV wavelength, UV power, first concentration, first rotation speed, first flow rate, second process time, second rotation speed, percentage of residue removal, and dispense temperature.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: January 23, 2018
    Assignee: Tokyo Electron Limited
    Inventor: Ian J Brown
  • Patent number: 9812334
    Abstract: A corrosion method of a passivation layer (320) of a silicon wafer (300) includes: pouring hydrofluoric acid solution (100) into a container (200) with an open top; putting the silicon wafer (300) to the opening of the container (200) and one side of the silicon wafer (300) with the passivation layer (320) is opposite to the hydrofluoric acid solution (100); the hydrogen fluoride gas generated from the volatilization of the hydrofluoric acid solution (100) corrodes the passivation layer (320) of the silicon wafer (300), the corrosion time is larger or equal to (thickness of the passivation layer/corrosion rate). By means of the corrosion of the passivation layer of silicon wafer by the fluoride gas generated from the volatilization of the hydrofluoric acid solution, the fluoride gas can fully touch the passivation layer; therefore the passivation layer can be completely corroded, and the corrosion precision is high.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: November 7, 2017
    Assignee: CSMC Technologies Fab1 Co., Ltd.
    Inventor: Qiliang Sun
  • Patent number: 9809716
    Abstract: Inadvertent or unavoidable contact of an acid with an acid-reactive substance may preclude the acid's use in another location where its reactivity is more desired. Excessive reactivity of acids toward acid-reactive substances may lead to undesired effects such as surface erosion, matrix deconsolidation, scaling, and the like. Methods for protecting an acid-reactive surface from excessive reaction may comprise: depositing a protective coating comprising an N-(phosphonoalkyl)iminodiacetic acid or any salt thereof onto an acid-reactive surface; and contacting a mineral acid or an organic acid with the protective coating without substantially reacting the acid-reactive surface.
    Type: Grant
    Filed: January 14, 2015
    Date of Patent: November 7, 2017
    Assignee: Halliburton Energy Services, Inc.
    Inventors: Aaron Michael Beuterbaugh, Enrique Antonio Reyes, Alyssa Lynn LaBlanc
  • Patent number: 9739385
    Abstract: An inlet port provides a fluid entrance to a body. A chamber has an inner surface. An outlet port is in fluid communication with the chamber and provides a fluid exit from the body. The piston is moveable within the chamber between a first set position, in which the inlet port is not in fluid communication with the outlet port, and a second open position, in which the inlet port is in fluid communication with the outlet port through the chamber. A seal guard is slidably disposed within the chamber. The seal guard is moveable between a first seal-guard position and a second seal-guard position in which the seal guard shields the seal. The seal guard is in the first seal-guard position when the piston is in its first set position and in the second seal-guard position when the piston is in its second open position.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: August 22, 2017
    Assignee: Marathon Valve LLC
    Inventor: Daniel Greenfield Lattimer
  • Patent number: 9713823
    Abstract: A continuous galvanizing line having an annealing furnace of an all radiant tube heating type includes a moisture removal device, dew-point meters, outlets through which the atmospheric gas in the furnace is collected and inlets through which the atmospheric gas from which moisture has been removed with the moisture removal device is fed into the furnace, the dew-point meters and the outlets being placed at least at two points which respectively exist on a side wall in the vicinity of the entrance of the annealing furnace and on a side wall in the vicinity of the furnace top or the furnace bottom at a position where a steel sheet has a maximum end-point temperature, the inlets being placed at two points which respectively exist on side walls on the sides opposite to the sides of the two points for the outlets in the height direction of the furnace, making it possible to steadily control the dew-point of the atmospheric gas to be ?45° C. or lower and ?80° C.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: July 25, 2017
    Assignee: JFE STEEL CORPORATION
    Inventor: Nobuyuki Sato
  • Patent number: 9683293
    Abstract: The present disclosure relates generally to the field of conversion coating. More specifically, the present disclosure relates to improved methods for improving efficiency of chromium conversion coat processing lines.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: June 20, 2017
    Assignee: THE BOEING COMPANY
    Inventor: Lawrence M. Lawless
  • Patent number: 9663870
    Abstract: A method for coating a component for use in a semiconductor chamber for plasma etching includes providing a component for use in a semiconductor manufacturing chamber, loading the component into a deposition chamber, cold spray coating a metal powder onto the component to form a coating on the component, and anodizing the coating to form an anodization layer.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: May 30, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Vahid Firouzdor
  • Patent number: 9657258
    Abstract: The present invention generally relates to methods of cleaning residual pesticide from an agricultural vessel, and to kits and compositions useful for the practice of such methods.
    Type: Grant
    Filed: April 29, 2013
    Date of Patent: May 23, 2017
    Assignee: Monsanto Technology LLC
    Inventors: Amanda C. Herr, David A. Morgenstern, James W. Taylor
  • Patent number: 9658203
    Abstract: A metal collection solution according to an embodiment contains 48 wt % or more of HNO3, 6 wt % or less of HCl, and 5 wt % or less of HF. The metal collection solution can collect noble metals and can scan on a substrate. The metal collection solution can be used to collect the noble metals adhered to a surface of the substrate.
    Type: Grant
    Filed: August 6, 2015
    Date of Patent: May 23, 2017
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Jiahong Wu, Yuji Yamada, Ayako Mizuno
  • Patent number: 9645505
    Abstract: An exposure apparatus is capable of accurately performing an exposure process and a measurement process based on a liquid immersion method. The exposure apparatus, which forms a liquid immersion area of a liquid on an image surface side of a projection optical system, and exposes a substrate via the projection optical system and the liquid of the immersion area, includes a measuring device which measures at least one of a property and composition of the liquid for forming the liquid immersion area.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: May 9, 2017
    Assignee: NIKON CORPORATION
    Inventor: Kenichi Shiraishi
  • Patent number: 9612218
    Abstract: This method for determining whether an acidic aqueous solution is usable or not comprises: a first detection step (S21) in which the solution concentration of an acidic aqueous solution resulting from pickling is determined; a second detection step (S23a, S23b) in which the concentration of a specific metal in the acidic aqueous solution resulting from pickling is determined, the metal having been contained in the coating layer; a concentrated-solution addition step (S25b) in which in cases when the solution concentration is equal to or less than a predetermined first threshold, a concentrated acidic aqueous solution is newly added; and a determination step (S24a, S24b) in which in cases when the concentration of the specific metal is equal to or higher than a predetermined second threshold, it is determined that the acidic aqueous solution is unusable.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: April 4, 2017
    Assignee: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Tetsuji Kawakami, Hiroyuki Mitsui, Yoshiyuki Inoue
  • Patent number: 9567554
    Abstract: A cleaning method and a cleaning fluid are provided. The cleaning method includes accessing a plurality of turbine components attached to a turbine assembly, the turbine assembly being a portion of a turbomachine, positioning at least one cleaning vessel over at least one of the turbine components, forming a liquid seal with a sealing bladder, providing a cleaning fluid to the cleaning vessel, and draining the cleaning fluid from the cleaning vessel. The cleaning fluid includes a carrier fluid and a solvent additive for removing fouling material from the turbine component. An alternative cleaning method is also provided.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: February 14, 2017
    Assignee: General Electric Company
    Inventors: Sanji Ekanayake, Surinder Singh Pabla, Murali Krishna Kalaga, Alston Ilford Scipio, Ishmael Dean El
  • Patent number: 9502146
    Abstract: The invention relates to a process for dissolving a chromium, iron, nickel, zinc and radionuclides containing oxide layer, in particular for breaking down oxide layers deposited on inner surfaces of systems and components of a nuclear power plant, by means of an aqueous decontamination solution containing methanesulfonic acid, which flows in a loop, wherein in regular intervals small amounts of permanganic acid are added, and following reaction of the permanganic acid a second loop is added on in bypass and the dissolved cations and anions are removed by ion-exchange resins from the decontamination solution.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: November 22, 2016
    Assignees: NIS INGENIEURGESELLSCHAFT MBH
    Inventors: Horst-Otto Bertholdt, Alexander Landner, Andreas Loeb, Hartmut Runge, Dieter Stanke
  • Patent number: 9481855
    Abstract: An aqueous cleaning composition and method for post-CMP cleaning of a semiconductor device which contains a copper interconnect wherein the cleaning composition contains (A) N,N,N?-trimethyl-N?-(2-hydroxyethyl)ethylenediamine; and (B) at least one corrosion inhibitor selected from the group consisting essentially of uric acid, xanthine, theophyline, paraxanthine, theobromine, caffeine, guanine, hypoxanthine, adenine, and combinations thereof.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: November 1, 2016
    Assignee: EKC TECHNOLOGY INC
    Inventors: Atsushi Otake, Akira Kuroda
  • Patent number: 9481934
    Abstract: A method of removing a work-affected layer formed on the worked surface of a TiAl-based alloy (base material) by machining work, without exerting any adverse effect on the base material. The method of removing the work-affected layer includes a step of dipping a TiAl-based alloy, having a work-affected layer formed on the surface thereof by machining, in an etchant containing predetermined concentrations of hydrofluoric acid and nitric acid. Within the etchant, the concentration of the hydrofluoric acid is not less than 5 g/L and not more than 56 g/L, and the concentration of the nitric acid is selected from within a range from not less than 50 g/L to not more than 260 g/L in accordance with a combination of the concentration of the hydrofluoric acid within the etchant and the etching treatment temperature.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: November 1, 2016
    Assignee: MITSUBISHI HEAVY INDUSTRIES AERO ENGINES, LTD.
    Inventor: Taisuke Kamioka
  • Patent number: 9476019
    Abstract: A cleaning agent for a microelectronic device provided with metal wiring, which has an excellent ability to remove polishing particle residues derived from a polishing agent and an excellent ability to remove metallic residues on an insulating film, and has excellent anticorrosiveness to the metal wiring. The cleaning agent is used at a step subsequent to chemical mechanical polishing in a manufacturing process of a microelectronic device in which a metal wiring, e.g., copper or tungsten, is formed.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: October 25, 2016
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Mutsumi Nakanishi, Hiroshi Yoshimochi, Yukichi Koji
  • Patent number: 9476018
    Abstract: Methods and systems for cleaning corrosion product of a metallic layer from the surface of a substrate are provided. According to one embodiment, a treatment solution includes a surfactant, a complexing agent, and a pH adjuster. The surfactant is configured to enhance wetting of the substrate surface, and inhibit further corrosion of the capping layer. The complexing agent is configured to bind to metal ions which have desorbed from the substrate surface. The pH adjuster is configured to adjust the pH to a desired level, so as to promote desorption of the corrosion product from the substrate surface.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: October 25, 2016
    Assignee: Lam Research Corporation
    Inventor: Artur Kolics
  • Patent number: 9468950
    Abstract: A selective removal of metal and its anion species that are detrimental to subsequent hydrothermal hydrocatalytic conversion from the biomass feed in a continuous or semi-continuous manner prior to carrying out catalytic hydrogenation/hydrogenolysis/hydrodeoxygenation of the biomass that does not reduce the effectiveness of the hydrothermal hydrocatalytic treatment while minimizing the amount of water used in the process is provided.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: October 18, 2016
    Assignee: Shell Oil Company
    Inventors: Joseph Broun Powell, Robert Edward Trepte, Juben Nemchand Chheda
  • Patent number: 9446273
    Abstract: Disclosed is a method of detoxifying asbestos of waste slate. The method includes concentrating the asbestos by introducing hydrochloric acid aqueous solution into waste slate powder to dissolve and remove a limestone component contained in the waste slate; and mixing the concentrated asbestos with oxalic acid and performing a low-temperature heat treatment. The waste slate is crushed and pulverized and powder of the crushed and pulverized waste slate is formed in a size in a range of 100 meshes to 300 meshes. The detoxified asbestos includes re-crystallized magnesium oxalate having a rhombohedral or amorphous shape.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: September 20, 2016
    Assignee: KOREA INSTITUTE OF GEOSCIENCE AND MINERAL RESOURCE
    Inventors: Young-Nam Jang, Kyungsun Song, Myung Gyu Lee, Soo-Chun Chae, Hwanju Jo, Jun-Hwan Bang, Kyoung Won Ryu
  • Patent number: 9437416
    Abstract: According to one embodiment, a supercritical drying method for a semiconductor substrate includes introducing a semiconductor substrate formed with a metal film into a chamber, the surface of the substrate being wet with alcohol, supplying a supercritical fluid of carbon dioxide into the chamber, setting a temperature inside the chamber to a predetermined temperature, to replace the alcohol on the semiconductor substrate with the supercritical fluid, and discharging the supercritical fluid and the alcohol from the chamber while keeping the temperature inside the chamber at the predetermined temperature, to lower a pressure inside the chamber. The predetermined temperature is not lower than 75° C. but lower than a critical temperature of the alcohol.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: September 6, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hidekazu Hayashi, Hiroshi Tomita, Yukiko Kitajima, Hisashi Okuchi, Yohei Sato
  • Patent number: 9412628
    Abstract: A method of treating one or more wafers is provided. The method comprises the steps of: a) providing at least one wafer, that has first and second opposed major faces and at least one feature, such as a metal silicide, that is sensitive to a neutralizing chemistry on the first major face; b) causing an acidic chemistry, such as a sulfuric acid and/or phosphoric acid, to contact the first major face of the wafer and causing the wafer to spin; c) after causing the acidic chemistry to contact the wafer, causing a non-etching rinsing fluid to contact the first major face while the wafer is spinning; and d) during at least a portion of the time that the non-etching rinsing fluid is caused to contact the first major face of the spinning wafer, causing a neutralizing liquid to contact the second major face of the spinning wafer.
    Type: Grant
    Filed: June 11, 2012
    Date of Patent: August 9, 2016
    Assignee: TEL FSI, INC.
    Inventor: David P. DeKraker
  • Patent number: 9406749
    Abstract: A semiconductor structure includes a substrate and a fin. The fin extends from the substrate and is formed with a hole therethrough. The hole is defined by a confronting pair of wall parts. One of the wall parts is more arcuate than the other of the wall parts. A method for fabricating the semiconductor structure is also disclosed.
    Type: Grant
    Filed: October 2, 2014
    Date of Patent: August 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chia-Cheng Tai, Chun-Liang Tai
  • Patent number: 9305880
    Abstract: A semiconductor substructure with improved performance and a method of forming the same is described. The method includes providing a semiconductor dielectric layer having a recess formed therein; forming an interconnect structure with a metal liner and a conductive fill within the recess; and applying an electron beam treatment to the substructure.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: April 5, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fu-Ming Huang, Han-Hsin Kuo, Chi-Ming Tsai, Liang-Guang Chen
  • Patent number: 9203101
    Abstract: A method of manufacturing a fuel cell includes: growing carbon nanotubes substantially perpendicular to a substrate formed by loading a growth catalyst on a base material; arranging the substrate and a polymer electrolyte membrane so as to oppose to each other and combining the carbon nanotubes with the polymer electrolyte membrane; and dissolving and removing part of the substrate by immersing the substrate in a solution which dissolves the substrate, after the carbon nanotubes and the polymer electrolyte membrane are combined.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: December 1, 2015
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Shigeki Hasegawa, Yoshihiro Shinozaki, Masahiro Imanishi, Seiji Sano
  • Patent number: 9158202
    Abstract: Compositions are described that are useful for removing organic and organometallic substances from substrates, for example, photoresist wafers. Processes are presented that apply a minimum volume of a composition as a coating to the inorganic substrate whereby sufficient heat is added and the organic or organometallic substances are completely removed by rinsing. The compositions and processes may be suitable for removing and, in some instances, completely dissolving photoresists of the positive and negative varieties, and specifically negative dry film photoresist from electronic devices.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: October 13, 2015
    Assignee: Dynaloy, LLC
    Inventors: Richard Dalton Peters, Travis Acra, Yuanmei Cao, Spencer Erich Hochstetler, Michael Tod Phenis, Kimberly Dona Pollard
  • Patent number: 9142399
    Abstract: A substrate cleaning method can prevent corrosion of copper interconnects even when the cleaning method, which uses two-fluid jet cleaning, is used for cleaning of a surface of a substrate after polishing. The substrate cleaning method includes: carrying out primary cleaning of a surface of a substrate by scrub cleaning using a neutral or alkaline liquid chemical as a cleaning liquid; carrying out finish cleaning of the surface of the substrate by two-fluid jet cleaning which cleans the surface of the substrate in a non-contact manner by jetting carbonated water, comprising pure water or ultrapure water containing dissolved CO2 gas, from a two-fluid nozzle toward the surface of the substrate; and subsequently carrying out final finish cleaning of the surface of the substrate by scrub cleaning using a neutral or alkaline liquid chemical as a cleaning liquid, and then drying the surface of the substrate.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: September 22, 2015
    Assignee: EBARA CORPORATION
    Inventor: Tomoatsu Ishibashi
  • Patent number: 9120733
    Abstract: Processes for preparing commercial quantities of a stable, pharmaceutically acceptable sevoflurane substantially free of impurities are claimed. In another embodiment, a process for removing reactive metal salts from the surface of metallic equipment used in the distillation of sevoflurane and rendering a non-inert metallic surface of the metallic equipment inert.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: September 1, 2015
    Assignee: HALOCARBON PRODUCTS CORPORATION
    Inventors: Barry Jones, Joel Swinson, Paul Mazzell
  • Patent number: 9115261
    Abstract: Shaped article substrates, e.g., plastics, textiles, extrudates, films, etc., having silicone material coverings adhered thereto, are delaminated by treating same with an aqueous solution containing an alkali metal or alkaline earth metal hydroxide and a phase transfer catalyst; particular such shaped article substrates are airbag type of inflatable protection bags having layers of silicone elastomer adhered thereto and used for the protection of the occupants of a motor vehicle.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: August 25, 2015
    Assignee: RHODIA OPERATIONS
    Inventor: Gérard Mignani
  • Patent number: 9085062
    Abstract: A method of treating the internal surface of a container for the storage of gas, such as a gas cylinder, that is made from or lined with aluminum or aluminum alloy. The method is characterized in that it comprises creating a freshly exposed aluminum surface, for example by the use of a wet grinding, in the presence of a surfactant. The surfactant is preferably derived from C6-C18 carboxylic acids. The container for the storage of gas provides long-term gas stability. The internal surface of the container has a substantially continuous disturbed layer and is hydrophobic. It may also incorporate groups or species derived from the surfactant and/or comprise oxides or oxyhydroxides of aluminum.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: July 21, 2015
    Assignee: LUXFER GROUP LIMITED
    Inventors: Nigel John Henry Holroyd, Sharon Laraine Havercroft, Garland Elmo Scott
  • Patent number: 9058976
    Abstract: Cleaning solutions and processes for cleaning semiconductor devices or semiconductor tooling during manufacture thereof generally include contacting the semiconductor devices or semiconductor tooling with an acidic aqueous cleaning solution free of a fluorine containing compound, the acidic aqueous cleaning solution including at least one antioxidant and at least one non-oxidizing acid.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: Vishal Chhabra, Laertis Economikos, John A. Fitzsimmons, James Hannah, Mahmoud Khojasteh, Jennifer Muncy
  • Patent number: 9045717
    Abstract: A cleaning agent for a microelectronic device provided with metal wiring, which has an excellent ability to remove polishing particle residues derived from a polishing agent and an excellent ability to remove metallic residues on an insulating film, and has excellent anticorrosiveness to the metal wiring. The cleaning agent is used at a step subsequent to chemical mechanical polishing in a manufacturing process of a microelectronic device in which a metal wiring, e.g., copper or tungsten, is formed.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: June 2, 2015
    Assignee: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Mutsumi Nakanishi, Hiroshi Yoshimochi, Yukichi Koji
  • Publication number: 20150128993
    Abstract: The present invention provides treatment strategies that reduce contamination on wafer surfaces that are treated with acid chemistries. The strategies are suitable for use with a wide variety of wafers, including those including sensitive microelectronic features or precursors thereof. These strategies involve a combination of neutralizing and rinsing strategies that quickly and effectively remove residual acid and acid by-products from both the front side of workpiece(s) as well as from other processing chamber surfaces that can be causes of contamination.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Inventors: Erik R. Berg, Kevin L. Siefering
  • Publication number: 20150096590
    Abstract: A method for cleaning quartz reaction tube is disclosed. The method includes the steps of: introducing a quartz reaction tube to a cleaning chamber, wherein the quartz reaction tube comprises a first end and a second end; sealing the first end and the second end of the quartz reaction tube with a first sealing element and a second sealing element respectively, wherein the first sealing element is coupled to an input pipe and a cleaning rod, and the second sealing element is coupled to an output pipe; supplying a first cleaning agent into the quartz reaction tube from the input pipe; utilizing the cleaning rod to perform a cleaning process; and expelling the first cleaning agent from the output pipe.
    Type: Application
    Filed: October 9, 2013
    Publication date: April 9, 2015
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Jheng-Sian Ni, Li-Yuan Chang, Chih-Ping Yen, Fei-Yu Yang
  • Patent number: 8945939
    Abstract: The invention is directed towards methods and compositions for identifying the amount of hydrofluoric acid in a buffered oxide etching composition. In buffered oxide etching compositions it is very difficult to measure the amount of hydrofluoric acid because it has varying equilibriums and it is toxic so it hard to handle and sample. When used to manufacture microchips however, incorrect amounts of hydrofluoric acid will ruin those chips. The invention utilizes a unique method of spectrographically measuring the hydrofluoric acid when in contact with added chromogenic agents to obtain exact measurements that are accurate, immediate, and safe.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: February 3, 2015
    Assignee: Ecolab USA Inc.
    Inventors: Amy M. Tseng, Brian V. Jenkins, Robert Mack
  • Patent number: 8940105
    Abstract: A photoreceptor undercoat containing a sulfonamide facilitates removal of coatings from the substrate.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: January 27, 2015
    Assignee: Xerox Corporation
    Inventors: Yuhua Tong, Jin Wu, Nancy L. Belknap, Helen R. Cherniack
  • Patent number: 8940178
    Abstract: A method of texturizing a silicon substrate comprising a) contacting the substrate with an etching solution comprising glycolic acid, b) etching a surface of the substrate thereby forming disruptions in said surface of the substrate, and c) removing the etching solution to yield a texturized substrate, said texturized substrate having a plurality of disruptions in at least one surface with a surface density of disruptions of a minimum of 60 disruptions in a 400 micron square area.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: January 27, 2015
    Assignee: E I du Pont de Nemours and Company
    Inventors: Seung Jin Lee, Hee Soo Yeo
  • Patent number: RE46221
    Abstract: A probe for engaging a conductive pad is provided. The probe includes a probe contact end for receiving a test current, a probe retention portion below the contact end, a block for holding the probe retention portion, a probe arm below the retention portion, a probe contact tip below the arm, and a generally planar self-cleaning skate disposed perpendicular below the contact tip. The self-cleaning skate has a square front, a round back and a flat middle section. The conductive pad is of generally convex shape having a granular non-conductive surface of debris and moves to engage the skate, whereby an overdrive motion is applied to the pad causing the skate to move across and scrub non-conductive debris from the pad displacing the debris along the skate and around the skate round back end to a position on the skate that is away from the pad.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: November 29, 2016
    Assignee: MICROPROBE, INC.
    Inventor: January Kister