Including Acidic Agent Patents (Class 134/3)
  • Publication number: 20120317875
    Abstract: Systems and methods for processing glycerol into one or more products are provided. In at least one specific embodiment, the method can include decreasing a pH of a mixture comprising glycerol and fatty acids to produce an emulsion comprising a glycerol-rich portion and a fatty acids-rich portion. At least a portion of the glycerol-rich portion can be reacted with an acid comprising phosphorus at conditions sufficient to produce a reacted product comprising glycerophosphoric acid, glycerol, and a portion of the acid.
    Type: Application
    Filed: August 20, 2012
    Publication date: December 20, 2012
    Applicant: Envirosource, Inc.
    Inventors: Spencer S. Awbrey, John Deloach, Tony Alexander, Don Darbonne, Marilyn Darbonne
  • Publication number: 20120322226
    Abstract: A semiconductor device production method includes: treating a wafer which contains a silicon substrate with dilute hydrofluoric acid in a bath; introducing water into the bath while discharging the dilute hydrofluoric acid from the bath; and introducing H2O2 and warm water warmer than the above-mentioned water into the bath after the discharge of dilute hydrofluoric acid from the bath in such a manner that the introduction of warm water is started simultaneously with the start of H2O2 supply or subsequently to the start of H2O2 supply.
    Type: Application
    Filed: April 5, 2012
    Publication date: December 20, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Naomi Yanai, Yuka Kase, Hiroyuki Ogawa
  • Patent number: 8333843
    Abstract: The present disclosure relates to methods and related cleaning solutions (116) for cleaning a glass substrate (10, 112), such as for removing metal ion contaminates from a glass substrate (10, 112) having a transparent conductive oxide layer (12). One method includes: providing a glass substrate (10, 112) having a transparent conductive oxide (TCO) layer (12); and exposing the glass substrate (10, 112) to a cleaning solution (116) that includes 0.5% to 5% organic acid, wherein the organic acid used includes citric acid, acetic acid, or oxalic acid.
    Type: Grant
    Filed: April 16, 2009
    Date of Patent: December 18, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Fang Mei, David Tanner
  • Patent number: 8323415
    Abstract: A method for recovering ruthenium oxide or gold and titanium or titanium oxide from a bipolar plate at the end of the life of a fuel cell stack so as to use these materials in other fuel cell stacks thereafter. The bipolar plate is immersed in a solution including a suitable acid that dissolves the titanium or titanium oxide. The ruthenium oxide or gold will be released from the plate and will float on the solution from which it can be removed. The solution is then heated to evaporate the acid solution leaving a powder of the titanium oxide. The stainless steel of the bipolar plate is thus cleaned of the titanium or titanium oxide, and can be reused.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: December 4, 2012
    Assignee: GM Global Technology Operations LLC
    Inventors: Mahmoud H. Abd Elhamid, Youssef M. Mikhail, Richard H. Blunk
  • Patent number: 8323416
    Abstract: One exemplary embodiment can be a process for removing one or more scale deposits formed on a surface. The process can include contacting the surface with a composition for a period of time sufficient to remove the scale deposits that comprise coke or metal sulfides or mixtures thereof. Generally, the composition includes an effective amount of an organic acid and/or a salt thereof, and an effective amount of an oxidizing agent.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: December 4, 2012
    Assignee: UOP LLC
    Inventors: Steven A. Bradley, Walter Zamechek
  • Publication number: 20120301351
    Abstract: A high etch cleaner for aluminum and aluminum alloy substrates that leads to enhanced corrosion protective performance of a variety of anti-corrosion pretreatments. The cleaner comprises low levels of silicate of from 0 to 250 ppm, 50 to 500 ppm of at least one chelator, and has a pH of from 11.0 to 13.5. The cleaner may be used to etch from 0.5 to 4.0 grams per meter squared from substrates. Substrates treated with the cleaner and then coated with a variety of anti-corrosion pretreatments and outer coatings show enhanced corrosion resistance compared to substrates cleaned with standard cleaners that have low etch rates, high silicate levels and no chelating agents followed by anti-corrosion pretreatments and outer coatings.
    Type: Application
    Filed: June 25, 2012
    Publication date: November 29, 2012
    Applicant: Henkel AF & Co. KGaA
    Inventors: Edis KAPIC, Michael L. SIENKOWSKI, Bruce H. GOODREAU, Sophie CORNEN
  • Publication number: 20120291800
    Abstract: Corrosion-inhibited hypochlorite compositions and methods of use are disclosed. Corrosion inhibitors including sugar acids and calcium compounds, polyacrylate and calcium compounds, and/or zinc and calcium compounds are used with hypochlorite sources to enhance the longevity and performance of electrochemical cells as well as reducing corrosion of metal in contact with the generated hypochlorite sources. The methods for generation employ a variety of electrochemical cells, beneficially including use of portable electrochemical cell system for production of corrosion-inhibited hypochlorite cleaning solutions.
    Type: Application
    Filed: June 25, 2012
    Publication date: November 22, 2012
    Applicant: ECOLAB USA INC.
    Inventors: Jenna Johnson, Kim R. Smith, Erik C. Olson, Steven E. Lentsch
  • Patent number: 8310054
    Abstract: A semiconductor device manufacturing method includes removing copper deposits, by use of an organic acid gas and an oxidizing gas, from a surface of a second interlayer insulation film having a groove formed therein and reaching a copper-containing electric connector member. The second interlayer insulation film is disposed on a first interlayer insulation film provided with the electric connector member. The method then includes reducing a surface of the electric connector member exposed at a bottom of the groove of the second interlayer insulation film; forming a barrier layer on the second interlayer insulation film; and forming a copper-containing conductive film to fill the groove of the second interlayer insulation film.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: November 13, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hidenori Miyoshi, Kazuichi Hayashi
  • Publication number: 20120272998
    Abstract: Low scatter water clear zinc sulfide with reduced metal contamination is prepared by cleaning an inert foil with an acid cleaning method and also cleaning zinc sulfide to reduce metal contamination. The zinc sulfide is wrapped in the inert foil and then treated by a HIP process to provide a water-clear zinc sulfide. The low scatter water-clear zinc sulfide may be used in articles such as windows and domes.
    Type: Application
    Filed: April 13, 2012
    Publication date: November 1, 2012
    Applicant: Rohm and Haas Company
    Inventors: Jitendra S. GOELA, Nathaniel E. BRESE
  • Patent number: 8298341
    Abstract: A method is used for removing a metal contaminant deposited on a quartz member selected from the group consisting of a reaction tube, wafer boat, and heat-insulating cylinder of a vertical heat processing apparatus for a semiconductor process. The method includes obtaining the quartz member unattached to the vertical heat processing apparatus; then, performing diluted hydrofluoric acid cleaning of cleaning the quartz member by use of diluted hydrofluoric acid; then, performing first purified water cleaning of cleaning the quartz member by use of purified water; then, performing hydrochloric acid cleaning of cleaning the quartz member by use of hydrochloric acid; and then, performing second purified water cleaning of cleaning the quartz member by use of purified water.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: October 30, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Katoh, Tsuneyuki Okabe, Kohichi Orito, Takashi Chiba
  • Publication number: 20120263967
    Abstract: A blank tube for cold drawing is used for the production of a longer-length, small-diameter tube such as a heat-transfer tube for steam generation in nuclear power facilities, wherein the blank tube will not cause scoring and chattering vibration in a drawing process. The blank tube is used to produce a cold drawn tube through cold drawing of the blank tube. The average surface roughness Ra (ANSI B46.1) of the inner surface of blank tube before drawing satisfies the condition: 0.10 ?m?Ra?1.00 ?m in the case of a blank tube for cold drawing for use in an oil-lubricated drawing. In particular, the surface roughness Ra satisfies the condition: 0.10 ?m?Ra?0.50 ?m in the case of a blank tube for use in a high-pressure lubrication drawing and made of an austenitic alloy for use in a heat-transfer tube for a steam generator.
    Type: Application
    Filed: June 20, 2012
    Publication date: October 18, 2012
    Applicant: SUMITOMO METAL INDUSTRIES, LTD.
    Inventors: Masatoshi Toyoda, Keishi Matsumoto
  • Publication number: 20120264311
    Abstract: The present invention provides a surface treatment method for germanium based device. Through performing surface pretreatment to the germanium based device by using an aqueous solution of ammonium fluoride as a passivant, the interface state may be reduced, the formation of natural oxidation layer at the germanium surface may be inhibited, the regeneration of natural oxidation layer and the out-diffusion of the germanium based substrate material can be effectively inhibited, and the thermal stability of the metal germanide may also be increased significantly, so that the interface quality of the germanium based device is improved easily and effectively, which are advantageous to improve the performance of the germanium based transistor.
    Type: Application
    Filed: April 8, 2011
    Publication date: October 18, 2012
    Applicant: PEKING UNIVERSITY
    Inventors: Xia An, Yue Guo, Runsheng Wang, Ru Huang, Xing Zhang
  • Publication number: 20120258009
    Abstract: A method of conditioning the surface of a work piece, particularly of a strip or sheet, more particularly of a lithostrip or lithosheet, including an aluminum alloy is provided. The method for conditioning the surface of a work piece and a work piece including an aluminum alloy enabling an increasing manufacturing speed in electro-chemically graining and maintaining at the same time a high quality of the grained surface, includes a conditioning method which comprises at least the two steps, degreasing the surface of the work piece with a degreasing medium and subsequently cleaning the surface of the work piece by pickling.
    Type: Application
    Filed: June 15, 2012
    Publication date: October 11, 2012
    Applicant: HYDRO ALUMINIUM DEUTSCHLAND GMBH
    Inventors: Bernhard Kernig, Henk Jan Brinkman
  • Publication number: 20120247505
    Abstract: Provided is a method and system for increasing etch rate and etch selectivity of a masking layer on a substrate in an etch treatment system, the etch treatment system configured for single substrate processing. The method comprises obtaining a supply of steam water vapor mixture at elevated pressure, obtaining a supply of treatment liquid for selectively etching the masking layer over the silicon or silicon oxide at a set etch selectivity ratio, placing the substrate into the etch processing chamber, combining the treatment liquid and the steam water vapor mixture, and injecting the combined treatment liquid and the steam water vapor mixture into the etch processing chamber, wherein the flow of the combined treatment liquid and the steam water vapor mixture is controlled to maintain a set etch rate and a set etch selectivity ratio of the masking layer to silicon or silicon oxide.
    Type: Application
    Filed: March 30, 2011
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: IAN J. BROWN, WALLACE P. PRINTZ
  • Publication number: 20120244690
    Abstract: According to certain embodiments, a resist is placed over the surface of a semiconductor structure, wherein the resist covers a portion of the semiconductor structure. Dopants are implanted into the semiconductor structure using an ion implantation beam in regions of the semiconductor structure not covered by the resist. Due to exposure to the ion implantation beam, at least a portion of the resist is converted by exposure to the ion beam to contain an inorganic carbonized material. The semiconductor structure with resist is contacted with a superacid composition containing a superacid species to remove the resist containing inorganic carbonized materials from the semiconductor structure.
    Type: Application
    Filed: March 23, 2011
    Publication date: September 27, 2012
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Yoshihiro Uozumi
  • Patent number: 8266129
    Abstract: A system for endoscope data management of an endoscopic image of a body acquired by an endoscope is provided. An image filing apparatus retrieves the image from the endoscope, and records data of the image and scope ID assigned to the endoscope in association with one another. A washer washes the endoscope. A memory is incorporated in the washer, for storing log information of washing of the endoscope. A data manager retrieves the log information on line with the washer, to record the scope ID and the log information in association with one another. A server device records the data of the image, the scope ID and the log information on line with the image filing apparatus and the data manager. Furthermore, the data manager includes an editor for editing the log information of the washer.
    Type: Grant
    Filed: October 16, 2008
    Date of Patent: September 11, 2012
    Assignee: FUJIFILM Corporation
    Inventors: Kunimasa Shimizu, Takayuki Goto, Goro Miura, Takayoshi Kiuchi
  • Publication number: 20120222702
    Abstract: A system and method are provided for reclaiming an enriched radioisotope starting material from a target body. The system and method enable reclaiming the starting material in a relatively short time (e.g., several hours) after the target body's bombardment with energetic particles, greatly simplifying the target body's chemical processing, as well as reducing the cost of such processing (e.g., reducing the need for costly long-term storage). Specifically, a chemical protective layer is disposed between a radioisotope starting material and a base material of the target body. After the target body is irradiated with a suitable source (e.g., particle accelerator), then the irradiated radioisotope starting material can be removed without removing the base material due to the protection provided by the chemical protective layer. The system and method also enable the operator to obtain three different radioisotopes in a single bombardment of the target body, further reducing cost of radioisotope production.
    Type: Application
    Filed: April 13, 2012
    Publication date: September 6, 2012
    Applicant: Mallinckrodt LLC
    Inventor: William Claude Uhland
  • Publication number: 20120220105
    Abstract: A method of manufacturing a semiconductor device includes: holding a semiconductor substrate with a surface inclined with respect to the vertical direction and the horizontal direction; and immersing the semiconductor substrate in a cleaning solution including an acid.
    Type: Application
    Filed: February 16, 2012
    Publication date: August 30, 2012
    Applicant: FUJITSU LIMITED
    Inventors: Shirou OZAKI, Masayuki Takeda, Norikazu Nakamura, Junichi Kon
  • Patent number: 8252119
    Abstract: Several embodiments of cleaning systems using polyelectrolyte and various associated methods for cleaning microelectronic substrates are disclosed herein. One embodiment is directed to a system that has a substrate support for holding the microelectronic substrate, a dispenser positioned above the substrate support and facing a surface of the microelectronic substrate, a reservoir in fluid communication with the dispenser via a conduit, and a washing solution contained in the reservoir. The washing solution includes a polyelectrolyte.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: August 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Joseph N. Greeley, Nishant Sinha, Lukasz Hupka, Timothy A. Quick, Prashant Raghu
  • Publication number: 20120211025
    Abstract: A process for cleaning a wafer having an uneven pattern at its surface. The process includes at least the steps of: cleaning the wafer with a cleaning liquid; substituting the cleaning liquid retained in recessed portions of the wafer with a water-repellent liquid chemical after cleaning; and drying the wafer, wherein the cleaning liquid contains 80 mass % or greater of a solvent having a boiling point of 55 to 200° C., and wherein the water-repellent liquid chemical supplied in the substitution step has a temperature of not lower than 40° C. and lower than a boiling point of the water-repellent liquid chemical thereby imparting water repellency at least to surfaces of the recessed portions.
    Type: Application
    Filed: January 13, 2012
    Publication date: August 23, 2012
    Applicant: Central Glass Company, Limited
    Inventors: Soichi KUMON, Takashi SAIO, Shinobu ARATA, Masanori SAITO, Hidehisa NANAI, Yoshinori AKAMATSU
  • Patent number: 8246758
    Abstract: The present invention relates to medium chain peroxycarboxylic acid compositions of neutral or alkaline pH, to methods of making these compositions, and to methods employing these compositions. The methods include methods of cleaning. The compositions include cleaning compositions.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: August 21, 2012
    Assignee: Ecolab USA Inc.
    Inventors: Victor F. Man, Gina M. Danielson, Nathan D. Peitersen, Mark R. Altier
  • Patent number: 8241428
    Abstract: The present invention relates to a liquid acidic hard surface cleaning composition having a pH of from 2 to 2.9 and comprising formic acid and an alkaline material.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: August 14, 2012
    Assignee: The Procter & Gamble Company
    Inventors: Laura Cermenati, William Mario Laurent Verstraeten
  • Patent number: 8231736
    Abstract: A cleaning process for recovering an anodized aluminum part is particularly useful when the part has been exposed to a fluorine-containing plasma in etch reactor. The part is bathed in an agitated solution of a fluoride acid, such as ammonium fluoride, which converts aluminum fluoride to a soluble fluoride. The part is rinsed in water. The pores of the cleaned anodization may be resealed by a submerging the part in hot agitated deionized water.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: July 31, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Senh Thach, Xi Zhu, Li Xu, Anisul Khan
  • Patent number: 8231733
    Abstract: The present invention relates to a method used to remove post etch organic and inorganic residue as well polymeric residues and contaminants from semiconductor substrates. In one aspect, the method involves contacting the substrate with a composition are comprised of a water soluble organic solvent, a sulfonic acid and water.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: July 31, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Darryl W. Peters
  • Publication number: 20120189485
    Abstract: Disclosed are methods for removing rust from a ferrous metal-containing surface. These methods include contacting the surface with a composition that includes a carboxylic acid, a synthetic hectorite clay, and water.
    Type: Application
    Filed: January 21, 2011
    Publication date: July 26, 2012
    Applicant: PPG IDUSTRIES OHIO, I NC.
    Inventors: Michael J. Pawlik, Thor G. Lingenfelter, Nathan J. Silvernail
  • Patent number: 8221552
    Abstract: Methods of cleaning plasma processing chamber components include contacting surfaces of the components with a cleaning solution, while avoiding damage of other surfaces or areas of the components by the cleaning solution. An exemplary plasma processing chamber component to be cleaning is an elastomer bonded electrode assembly having a silicon member with a plasma-exposed silicon surface, a backing member, and an elastomer bonding material between the silicon surface and the backing member.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: July 17, 2012
    Assignee: Lam Research Corporation
    Inventors: Duane Outka, Jason Augustino, Armen Avoyan, Stephen Whitten, Hong Shih, Yan Fang
  • Patent number: 8221640
    Abstract: The method of dissolving the solids formed in the apparatus and pipework of a nuclear plant, in which the solids are brought into contact with an aqueous dissolving solution chosen from aqueous solutions of carbonate ions having a concentration of greater than or equal to 0.3M, aqueous solutions of bicarbonate ions, and solutions of a mixture of nitric acid and of a polycarboxylic acid chosen from oxalic acid and triacids.
    Type: Grant
    Filed: May 8, 2007
    Date of Patent: July 17, 2012
    Assignees: Commissariat a l'Energie Atomique, Compagnie General des Matieres Nuclealres
    Inventor: Alastair Magnaldo
  • Patent number: 8221556
    Abstract: This invention relates to a plumbing device made of a copper alloy containing nickel salt, that includes a valve and a tube coupling having at least a liquid-contacting part washed with a cleaning fluid incorporating therein nitric acid and hydrochloric acid as an inhibitor under conditions of a temperature and a duration permitting effective removal of nickel salt, thereby performing nickel salt-removing treatment and causing the hydrochloric acid to form a coating film on the surface of the liquid-contacting part thereby effectively precluding elution of nickel salt from the surface of the liquid-contacting part in the presence of the coating film, wherein the nitric acid has a concentration c in a range of 0.5 wt %<c<7 wt % and the hydrochloric acid has a concentration d in a range of 0.05 wt %<d<0.7 wt % in the cleaning fluid, wherein the temperature is set to 10° C.?x 50° C., and wherein nickel salt is removed with the cleaning fluid.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: July 17, 2012
    Assignee: Kitz Corporation
    Inventor: Norikazu Sugaya
  • Patent number: 8216384
    Abstract: Embodiments of the current invention describe a cleaning solution for the removal of high dose implanted photoresist, along with methods of applying the cleaning solution to remove the high dose implanted photoresist and combinatorially developing the cleaning solution.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Nitin Kumar, Guizhen Zhang
  • Patent number: 8216383
    Abstract: Disclosed herein is a method and treatment system for rapid cleaning and protecting of automotive cooling systems containing controlled atmosphere brazed aluminum heat exchangers. The method and treatment system can optionally include a conditioning (passivating) step. The treatment system can comprise three different parts: (1) cleaner or cleaning solution; (2) conditioner or conditioning solution; and (3) compatible CAB aluminum protective heat transfer fluid.
    Type: Grant
    Filed: July 6, 2010
    Date of Patent: July 10, 2012
    Assignee: Prestone Products Corporation
    Inventors: Bo Yang, Aleksei V. Gershun, Peter M. Woyciesjes
  • Patent number: 8211844
    Abstract: A method for removing a etch residue (e.g., polymer or particle) from a semiconductor structure and using a cleaning chemistry and the composition of the chemistry is described. By providing a semiconductor structure with etch residue on it, the semiconductor substrate is then placed in a chemistry to remove the particle, wherein the chemistry comprises dilute hydrofluoric acid and a carboxylic acid. In one embodiment the carboxylic acid is selected from tartaric acid, acetic acid, citric acid, glycolic acid, oxalic acid, salicyclic acid, or phthalic acid, and the dilute hydrofluoric acid is approximately 0.1 weight % of hydrofluoric acid.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 3, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Balgovind Sharma
  • Patent number: 8211239
    Abstract: A method for cleaning used beer kegs employing an aqueous solution of phosphoric acid, nitric acid and one or more surfactants, e.g., a detergent and/or wetting agent. Preferably, the aqueous cleaning solution has a total nitric acid content of 12 wt % or less. Complete cleaning can be achieved without using any caustic. The cleaning solution can be recycled repeatedly to clean multiple kegs on numerous occasions over a period of time, e.g., several weeks.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: July 3, 2012
    Assignee: Birko Corporation
    Inventor: Dana J. Johnson
  • Publication number: 20120160266
    Abstract: This invention provides processes for inhibiting the formation of copper oxides on substantially oxide-free copper surfaces by contacting a substantially oxide-free copper surface with a bifunctional ligand that contains both a metal-coordinating group and a tertiary amine group in an aqueous solution of pH about 2 to about 5.5. A thin layer of the bifunctional ligand formed by coordination of the dialkylaminoacetonitrile to the copper surface can be removed by heating under vacuum to regenerate a substantially oxide-free copper surface.
    Type: Application
    Filed: March 8, 2012
    Publication date: June 28, 2012
    Applicant: E.I. DU PONT DE NEMOURS AND COMPANY
    Inventor: Jeffery Scott THOMPSON
  • Patent number: 8206647
    Abstract: A system using electrochemically-activated water (ECAW) for manufacturing, processing, packaging, and dispensing beverages. The system uses ECAW solutions in the beverage facility clean-in-place system to achieve improved microbial control while greatly reducing water usage and reducing or eliminating the use of chemical detergents and disinfectants.
    Type: Grant
    Filed: February 6, 2009
    Date of Patent: June 26, 2012
    Assignee: Radical Waters International Ltd.
    Inventor: Robin Duncan Kirkpatrick
  • Publication number: 20120145187
    Abstract: The present invention provides a surface treatment method for suppressing the formation and growth of superheated steam oxide scale on an iron-based metal surface exposed to superheated steam, comprising treating said iron-based metal surface with a surface-treatment agent which comprises a polyoxy saturated aliphatic mono- or di-carboxylic acid or a salt thereof and an amine compound represented by the following formula (I): Z(CH2CH2NH)nCH2CH2NH2??(I) wherein Z represents H or OH or NH2 group, and n is an integer of 0-5.
    Type: Application
    Filed: July 1, 2010
    Publication date: June 14, 2012
    Applicants: NAIGAI CHEMICAL PRODUCTS CO., LTD., CHUBU ELECTRIC POWER CO., INC.
    Inventors: Yoshiyuki Abe, Masamichi Miyajima, Kazuo Marugame, Masaki Yoshida, Yuji Shimizu
  • Patent number: 8197605
    Abstract: The present invention relates to the use of at least one alkanesulfonic acid of formula R—SO3H, in which R represents a saturated, linear or branched, hydrocarbon chain containing 1 to 4 carbon atoms, as agent for cleaning cement, mortar, concrete, lime, laitance and other derived products. The invention also relates to a method of cleaning cement, mortar, concrete, lime, laitance and other derived products using at least one alkanesulfonic acid.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: June 12, 2012
    Assignee: Arkema France
    Inventors: Jean-Alex Laffitte, Bernard Monguillon
  • Publication number: 20120138086
    Abstract: A method chemically decontaminates a surface of a metal component of a primary circuit of a pressurized-water reactor, the surface contains an oxide layer. In a first stage, an oxidation step, a reduction step, and a first decontamination step are performed. The component is treated in the oxidation step with an aqueous solution containing an oxidizing agent, which converts trivalent chrome present in the oxide layer into hexavalent chrome. The component is treated in the reduction step with an aqueous solution containing a reducing agent for reducing excess oxidation agent from the oxidation step. The component is treated in the first decontamination step with an aqueous solution containing a decontamination acid that forms no antisoluble deposits with metal ions in the solution. The solution is fed through an ion exchanger for removing metal ions. In a second stage, the component is treated with an aqueous solution containing an oxalic acid.
    Type: Application
    Filed: February 13, 2012
    Publication date: June 7, 2012
    Applicant: AREVA NP GMBH
    Inventors: RAINER GASSEN, BERTRAM ZEILER
  • Patent number: 8192556
    Abstract: The use of complex fluoride ions of elements of groups 4, 13, or 14 of the periodic table of the chemical elements (preferably selected from complex fluoride ions of the elements B, Si, Ti, and Zr) in concentrations from 30 t 500 millimoles per liter in process solutions for pickling steel or for bleaching and/or passivating pickled surfaces of stainless steel; a process solution for pickling steel or bleaching and/or passivating pickled surfaces of stainless steel comprising: a) one or more strong acids, b) one or more oxidizing agents in the bleaching/passisvating process, c) complex fluoride ions of elements of groups 4, 13 or 14 of the periodic table of the chemical elements in concentrations from 50 to 500 mmoles per liter; replenisher or concentrate containing a combination of active substances thereof; a process for pickling steel or for brightening and/or passivating of pickled surfaces of stainless steel, wherein the surfaces are brought into contact with such a process solution.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: June 5, 2012
    Assignee: Henkel KGaA
    Inventors: Paolo Giordani, Valentino Gasparetto, Mauro Rigamonti
  • Patent number: 8192554
    Abstract: Methods are provided for descaling metallic component devices such as stents. The devices or components are cleaned under ultrasound in a cleaning solution of ammonium hydrogen fluoride at a temperature within a range of about 60° to 80° C., then rinsed at that temperature with an aqueous rinse containing a nonionic surfactant and rinsed again with purified water.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: June 5, 2012
    Assignee: Abbott Laboratories
    Inventor: Sanjay Shrivastava
  • Publication number: 20120121972
    Abstract: An electrochemical battery cell having a negative electrode, an electrolyte containing a conductive salt, and a positive electrode, the electrolyte being based on SO2 and the intermediate chamber between the positive electrode and the negative electrode being implemented such that active mass deposited on the negative electrode during the charging of the cell may come into contact with the positive electrode in such manner that locally delimited short-circuit reactions occur on its surface.
    Type: Application
    Filed: August 3, 2011
    Publication date: May 17, 2012
    Inventors: Guenther Hambitzer, Laurent Zinck, Heide Biollaz, Markus Borck, Christiane Ripp
  • Publication number: 20120100701
    Abstract: A silicon wafer after being subjected to mirror polishing but before being subjected to form an epitaxial layer thereon is subjected to an ozone gas treatment that oxidizes a surface of the silicon wafer by use of ozone gas, a hydrofluoric acid gas treatment that dissolves and removes the oxidized surface of the silicon wafer by use of hydrofluoric acid gas, and a washing treatment that removes foreign substances remaining on the surface of the silicon wafer, whereby PIDs (Polishing Induced Defects) generated by the mirror polishing are forcedly oxidized, dissolved and removed. By performing epitaxial treatment thereafter, PID-induced convex defects can be prevented from generating on the surface of the epitaxial wafer.
    Type: Application
    Filed: June 24, 2010
    Publication date: April 26, 2012
    Inventor: Tomonori Kawasaki
  • Publication number: 20120097184
    Abstract: A method for recycling a wafer is provided. The method removes residues remaining on the wafer separated from a semiconductor layer, using HCl and Cl2 gases under high temperature and low pressure conditions. According to the method, damage of a surface of the wafer is minimized. In addition, since reduction in thickness and an outer diameter of the wafer is minimized, a number of attempts at reprocessing the wafer may be increased.
    Type: Application
    Filed: October 17, 2011
    Publication date: April 26, 2012
    Inventors: Ki Ho PARK, Kong Tan Sa, Suk Ho Yoon, Hyun Seok Ryu, Bo A Shin
  • Patent number: 8158311
    Abstract: An object of the present invention is to provide a method for managing a light exposure mask wherein: a mask inspection or a wafer inspection, in order to manage a contamination of a light exposure mask by a growing foreign matter, is not necessary; the method has general versatility regardless to the history of the mask; the method dose not increase time and cost for mask manufacturing and inspection; and the method is operated so that the mask can always be used in a clean state. Another object of the present invention is to provide a light exposure mask wherein a contamination by a growing foreign matter dose not occur.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: April 17, 2012
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Shu Shimada, Hideki Yamamoto, Akihiko Naitoh
  • Publication number: 20120080053
    Abstract: Disclosed is a cleaning method which can remove, particularly, all of an organic contaminant, a particle contaminant, and a metal contaminant adhered to a semiconductor substrate at a high cleaning level, and which can realize the reduction in environmental load caused by the cleaning. The method of cleaning the semiconductor substrate includes a first cleaning process of cleaning the semiconductor substrate with a cleaning composition including a transition-metal-containing water-soluble salt (A), a chelating agent (B1), and a peroxide (C), a ratio of the chelating agent (B1) to the transition-metal-containing water-soluble salt (A) being 0.5 molar equivalent or more; and a second cleaning process of cleaning the semiconductor substrate, which is cleaned through the first cleaning process, with an acidic solution containing a chelating agent (B2).
    Type: Application
    Filed: April 30, 2010
    Publication date: April 5, 2012
    Applicant: LION CORPORATION
    Inventors: Makoto Hidaka, Taku Ogura, Maiko Kikuchi, Motohiro Kageyama, Masayuki Takashima
  • Patent number: 8147713
    Abstract: A method and composition for scale removal and leak detection is disclosed. The composition comprises a scale-removal agent and a fluorescing agent.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: April 3, 2012
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Jeffrey A. Greene, Ronald A. Koehler
  • Patent number: 8141386
    Abstract: A method for fabricating a glass substrate containing SiO2 as a main ingredient thereof for an information recording medium which ensures removal of abrasive or foreign mater adhered to the glass substrate without complicating a cleaning step, involves, after a polishing step, keeping the surface of the glass substrate in contact with a liquid having a Si element elution in a range from 100 to 10 000 ppb/mm2 before a scrub-cleaning step.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: March 27, 2012
    Assignee: Konica Minolta Opto, Inc.
    Inventors: Hideki Kawai, Yukitoshi Nakatsuji, Hiroaki Sawada, Shinichi Saeki
  • Patent number: 8128754
    Abstract: The pickling process designed for pickling electrical steel strip in a continuous fashion comprising immersing the strip in at least one pickling tub. The pickling tub contains a mixture of HCl, Fe2+, and Fe3+ and a low concentration of HF. Upon exiting the final pickling tub, the strip may be brushed or scrubbed to loosen any residual scale to form a clean strip.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: March 6, 2012
    Assignee: AK Steel Properties, Inc.
    Inventors: Vijay N. Madi, Amanda Glass, Ronald Rodabaugh
  • Publication number: 20120048295
    Abstract: This disclosure relates to compositions that can be used to remove residues from a semiconductor substrate.
    Type: Application
    Filed: March 9, 2010
    Publication date: March 1, 2012
    Applicant: FUJIFILM ELECTRONIC MATERIALS U.S.A., INC.
    Inventors: Bing Du, William A. Wojtczak, Stanley A. Ficner
  • Publication number: 20120037181
    Abstract: Embodiments of the present invention generally relate to methods for cleaning a substrate prior to a deposition process. The methods generally include multiple cleaning solutions for removing contaminants from a surface of a substrate. The multiple solutions generally have different compositions, and each of the solutions contain one or more additives selected to remove a variety of contaminants. Mechanical agitation may also be utilized to remove contaminants from the surface of a substrate. After cleaning a substrate, a material may be deposited on the substrate surface.
    Type: Application
    Filed: August 3, 2011
    Publication date: February 16, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Renhe Jia, Adam Brand, Liming Zhang, Dapeng Wang, Tzay-Fa Su, Vijay Parihar
  • Publication number: 20120000485
    Abstract: The present invention provides a cleaning agent for a substrate and a cleaning method thereof, which can effectively remove fine particles (particles) present on a surface of substrate or impurities derived from various kinds of metals (metallic impurities), without causing roughness surface of a substrate, in particular, a semiconductor substrate, and without causing corrosion or oxidation of metal wirings, in particular, copper wirings, provided on a surface of substrate, and can further remove at the same time a carbon defect present on a surface of substrate, without removing a metal corrosion inhibitor—Cu film, in particular, a Cu-BTA film.
    Type: Application
    Filed: September 14, 2011
    Publication date: January 5, 2012
    Applicant: WAKO PURE CHEMICAL INDUSTRIES, LTD.
    Inventors: Hironori Mizuta, Masahiko Kakizawa, Ichiro Hayashida