With Etchant Gas Supply Or Exhaust Structure Located Outside Of Etching Chamber (e.g., Supply Tank, Pipe Network, Exhaust Pump, Particle Filter) Patents (Class 156/345.29)
  • Patent number: 11486038
    Abstract: A gas injector for processing a substrate includes a body having an inlet connectable to a gas source that is configured to provide a gas flow in a first direction into the inlet when processing a substrate on a substrate support disposed within a processing volume of a processing chamber, and an a gas injection channel formed in the body. The gas injection channel is in fluid communication with the inlet and configured to deliver the gas flow to an inlet of the processing chamber. The gas injection channel has a first interior surface and a second interior surface that are parallel to a second direction and a third direction. The second and third directions do not intersect a center of the substrate, and are at an angle to the first direction towards a first edge of the substrate support.
    Type: Grant
    Filed: January 29, 2020
    Date of Patent: November 1, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eric Kihara Shono, Vishwas Kumar Pandey, Christopher S. Olsen, Kartik Shah, Hansel Lo, Tobin Kaufman-Osborn, Rene George, Lara Hawrylchak, Erika Hansen
  • Patent number: 11384434
    Abstract: A substrate processing apparatus for preventing adhesion of by-products to an inner surface of a furnace opening is disclosed. An apparatus is provided with: a process chamber, a substrate holder, a process gas supplier that supplies a process gas into the process chamber, a first heater that is installed outside the process chamber and heats an inside of the process chamber, a heat insulator that is installed between a lid of the process chamber and the substrate holder, a second heater that is installed near the substrate holder in the heat insulator and heats the inside of the process chamber, a third heater that is installed near an end closer to the lid in the process chamber and heats the end, and a supplier that supplies a purge gas to purge around the second and third heaters into the heat insulator.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: July 12, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Shuhei Saido
  • Patent number: 11380524
    Abstract: Embodiments of liners for use in a process chamber are provided herein. In some embodiments, a liner for use in a process chamber includes an upper liner having a top plate with a central opening and a tubular body extending downward from an outer peripheral portion of the top plate, wherein the top plate has a contoured inner surface having a first step with a first inner diameter and a second step with a second inner diameter greater than the first inner diameter, and wherein the tubular body has an opening for transferring a substrate therethrough; and a lower liner abutting a bottom surface of the tubular body, wherein the lower liner extends radially inward from the tubular body and includes a plurality of radial slots arranged around the lower liner, wherein the upper liner and the lower liner form a C-shaped cross-section.
    Type: Grant
    Filed: March 19, 2020
    Date of Patent: July 5, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Joseph Perry
  • Patent number: 11262340
    Abstract: The present application is directed to a multi-sensor gas sampling detection system and method for detecting and measuring the radicals in a radical gas stream and includes at least one radical gas generator in communication with at least one gas source. The radical gas generator may be configured to generate at least one radical gas stream which may be used within a processing chamber. As such, the processing chamber is in fluid communication with the radical gas generator. At least one analysis circuit in fluid communication with the radical gas generator may be used in the detection and measurement system. The analysis may be configured to receive a defined volume and/or flow rate of the radical gas stream. In one embodiment, the analysis circuit may be configured to react at least one reagent with radicals within the defined volume of the radical gas stream thereby forming at least one chemical species within at least one compound stream.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: March 1, 2022
    Assignee: MKS Instruments, Inc.
    Inventors: Johannes Chiu, Xing Chen, Chiu-Ying Tai, Michael Harris, Atul Gupta
  • Patent number: 10964533
    Abstract: Embodiments described herein provide a gas supply system for reducing purge time and increasing processing throughput, and an atomic layer deposition (ALD) chamber having the same. The gas supply system includes an inert gas line and a precursor supply line. The inert gas line is configured to be coupled to an inlet of the chamber separate from the precursor supply line. Therefore, the inert gas is supplied concurrently to the precursor supply line and the processing region of the chamber such that total purge time is reduced. The reduction of the total purge time due to the gas supply system increases purge efficiency and increases processing throughput. Furthermore, the gas supply system allows inert gas to be utilized as a dilution gas during flow of precursors.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: March 30, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Chien-Teh Kao, Xiangxin Rui
  • Patent number: 10927449
    Abstract: Embodiments of the present disclosure provide a sputtering chamber with in-situ ion implantation capability. In one embodiment, the sputtering chamber comprises a target, an RF and a DC power supplies coupled to the target, a support body comprising a flat substrate receiving surface, a bias power source coupled to the support body, a pulse controller coupled to the bias power source, wherein the pulse controller applies a pulse control signal to the bias power source such that the bias power is delivered either in a regular pulsed mode having a pulse duration of about 100-200 microseconds and a pulse repetition frequency of about 1-200 Hz, or a high frequency pulsed mode having a pulse duration of about 100-300 microseconds and a pulse repetition frequency of about 200 Hz to about 20 KHz, and an exhaust assembly having a concentric pumping port formed through a bottom of the processing chamber.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: February 23, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Jingjing Liu, Ludovic Godet, Srinivas D. Nemani, Yongmei Chen, Anantha K. Subramani
  • Patent number: 10889891
    Abstract: Embodiments disclosed herein include an abatement system and method for abating compounds produced in semiconductor processes. The abatement system includes a remote plasma source for generating an oxidizing plasma for treating exhaust gases from a deposition process performed in the processing chamber, the treatment assisting with the trapping particles in an exhaust cooling apparatus. The remote plasma source then generates a cleaning plasma for treating exhaust gases from a cleaning process performed in the processing chamber, the cleaning plasma reacting with the trapped particles in the exhaust cooling apparatus and cleaning the exhaust cooling apparatus.
    Type: Grant
    Filed: April 12, 2019
    Date of Patent: January 12, 2021
    Assignee: Applied Materials, Inc.
    Inventor: James L'Heureux
  • Patent number: 10597780
    Abstract: Described herein is a technique capable of reducing the time necessary for stabilizing the inner temperature of the processing furnace. A substrate processing apparatus may include: a wafer retainer configured to support a plurality of wafers; an upright cylindrical process vessel; a seal cap configured to cover an opening at a lower end of the process vessel; a first heater configured to heat an inside of the process vessel from a lateral side thereof; an insulating unit disposed between the seal cap and the wafer retainer; and a second heater facing at least one of the plurality of wafers and configured to heat the at least one of the plurality of wafers, the second heater including: a pillar penetrating centers of the seal cap and the insulating unit; an annular member connected to and concentric with the pillar; a pair of connecting parts connecting end portions of the annular member to the pillar; and a heating element disposed inside the annular member.
    Type: Grant
    Filed: August 9, 2017
    Date of Patent: March 24, 2020
    Assignee: Kokusai Electric Corporation
    Inventors: Hitoshi Murata, Takashi Yahata, Yuichi Wada, Takatomo Yamaguchi, Shuhei Saido
  • Patent number: 10566213
    Abstract: Methods for evaluating synergy of modification and removal operations for a wide variety of materials to determine process conditions for self-limiting etching by atomic layer etching are provided herein. Methods include determining the surface binding energy of the material, selecting a modification gas for the material where process conditions for modifying a surface of the material generate energy less than the modification energy and greater than the desorption energy, selecting a removal gas where process conditions for removing the modified surface generate energy greater than the desorption energy to remove the modified surface but less than the surface binding energy of the material to prevent sputtering, and calculating synergy to maximize the process window for atomic layer etching.
    Type: Grant
    Filed: July 30, 2018
    Date of Patent: February 18, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Keren Jacobs Kanarik, Taeseung Kim
  • Patent number: 10519550
    Abstract: An apparatus includes: a rotatable table for revolving a substrate mounting region on which a substrate is mounted; a first gas supply part for supplying a source gas to a first region through an injection portion formed to face the rotatable table; an exhaust part for exhausting a gas through an exhaust port; a second gas supply part for supplying an separation gas for separating inner and outer sides of a second closed path from each other through an separation gas supply port formed to extend along the second closed path surrounding the exhaust port; a third gas supply part including two gas injectors arranged to extend at a certain interval in a direction crossing the revolutional direction with a second region defined outside the second closed path interposed between the gas injectors; and a plasma generation part for plasmarizing a reaction gas.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: December 31, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroaki Ikegawa, Hiroyuki Wada, Katsuyuki Hishiya
  • Patent number: 10497588
    Abstract: The present invention relates to an equipment front end module (EFEM) configured to perform wafer transfer between process equipment and a wafer storage device that stores wafers. More particularly, the present invention relates to an equipment front end module (EFEM), in which harmful substances such as fumes, etc. are prevented from accumulating on an exhaust unit to maintain cleanness in a wafer transfer chamber at a high level, thus preventing contamination in the wafer transfer chamber.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: December 3, 2019
    Inventor: Bum Je Woo
  • Patent number: 10418264
    Abstract: The present invention is directed to an assembling device used for semiconductor equipment. The assembling device includes a chamber lid, a ceiling, a suspension part, a driving part and receptacles. The ceiling is disposed below the chamber lid. The suspension part is inserted through the chamber lid, and to be hooked to the ceiling. The driving part is disposed above the chamber lid and connected to the suspension part, and configured to drive the suspension part to join or separate the ceiling and the chamber lid. The receptacles are disposed in the ceiling and configured to be correspondingly attached to the suspension part, each of the receptacles defines a rotating groove that is open at top and closed at bottom.
    Type: Grant
    Filed: July 12, 2017
    Date of Patent: September 17, 2019
    Assignee: Hermes-Epitek Corporation
    Inventors: Tsan-Hua Huang, Paul Wong
  • Patent number: 10322384
    Abstract: A counterflow mixing device for a process chamber is disclosed, comprising an injection tube that introduces a fluid in a manner counter to a flow of a post-plasma gas mixture traveling downward from a plasma source. The invention allows for proper mixing of the fluid as well as avoiding recombination of generated ions and radicals.
    Type: Grant
    Filed: March 10, 2016
    Date of Patent: June 18, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: John F. Stumpf, Chris Wuester
  • Patent number: 10192751
    Abstract: A method for selectively etching a silicon nitride layer on a substrate includes arranging a substrate on a substrate support of a substrate processing chamber. The substrate processing chamber includes an upper chamber region, an inductive coil arranged outside of the upper chamber region, a lower chamber region including the substrate support and a gas dispersion device. The gas dispersion device includes a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. The method includes supplying an etch gas mixture to the upper chamber region and striking inductively coupled plasma in the upper chamber region by supplying power to the inductive coil. The etch gas mixture etches silicon nitride, promotes silicon dioxide passivation and promotes polysilicon passivation. The method includes selectively etching the silicon nitride layer on the substrate and extinguishing the inductively coupled plasma after a predetermined period.
    Type: Grant
    Filed: September 21, 2016
    Date of Patent: January 29, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Dengliang Yang, Faisal Yaqoob, Pilyeon Park, Helen H. Zhu, Joon Hong Park
  • Patent number: 9786471
    Abstract: In some embodiments, the present disclosure relates to a plasma etching system having direct and localized plasma sources in communication with a processing chamber. The direct plasma is operated to provide a direct plasma to the processing chamber for etching a semiconductor workpiece. The direct plasma has a high potential, formed by applying a large bias voltage to the workpiece. After etching is completed the bias voltage and direct plasma source are turned off. The localized plasma source is then operated to provide a low potential, localized plasma to a position within the processing chamber that is spatially separated from the workpiece. The spatial separation results in formation of a diffused plasma having a zero/low potential that is in contact with the workpiece. The zero/low potential of the diffused plasma allows for reactive ashing to be performed, while mitigating workpiece damage resulting from ion bombardment caused by positive plasma potentials.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: October 10, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ying Xiao, Chin-Hsiang Lin
  • Patent number: 9779916
    Abstract: A radio frequency (RF) ground return arrangement for providing a low impedance RF return path for a RF current within a processing chamber of a plasma processing chamber during processing of a substrate is provided. The RF ground return arrangement includes a set of confinement rings, which is configured to surround a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The RF ground return arrangement also includes a lower electrode support structure. The RF ground return arrangement further includes a RF contact-enabled component, which provides a RF contact between the set of confinement rings and the lower electrode support structure such that the low impedance RF return path facilitates returning the RF current back to an RF source.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: October 3, 2017
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Akira Koshishi, Alexei Maraktanov
  • Patent number: 9741537
    Abstract: A method for generating an ion beam in an ion implantation process is provided. The method includes supplying a working gas into a first portion of an arc chamber which is separated from a second portion of the arc chamber by an intermediate plate. The method further includes guiding the working gas into the second portion of the arc chamber via a plurality of gas outlets formed at two opposite edges of the intermediate plate. The method also includes generating an ion beam from the working gas in the second portion of the arc chamber.
    Type: Grant
    Filed: November 3, 2016
    Date of Patent: August 22, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tai-Kun Kao, Tsung-Min Lin, Jen-Chung Chiu
  • Patent number: 9683288
    Abstract: When a dry cleaning process is performed in a processing chamber by adding nitrogen monoxide (NO) gas to a cleaning gas, the handling is facilitated, and cleaning performance is improved. A substrate processing apparatus includes a processing vessel configured to process a substrate, a first cleaning gas supply system configured to pre-mix a gas containing fluorine atoms with the NO gas and supply the pre-mixed gas into the processing vessel, and a second cleaning gas supply system installed apart from the first cleaning gas supply system and configured to supply the fluorine-containing gas into the processing vessel.
    Type: Grant
    Filed: February 19, 2014
    Date of Patent: June 20, 2017
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kenji Kameda, Jun Sonobe, Yudai Tadaki
  • Patent number: 9574267
    Abstract: Provided is a plasma processing apparatus comprising an exhaust path extending from the exhaust hole to the pump, wherein the pump is configured to depressurize inside of the processing container and the exhausting path, wherein the exhaust path includes a horizontally linearly extended portion, wherein the horizontally linearly extended portion of the exhaust path has a rectangular or oval cross-section having a horizontal length longer than a vertical length; wherein the plasma processing apparatus further includes a pressure control valve disposed in the horizontally linearly extended portion of the exhaust path; and wherein the pressure control valve is formed of a pressure control valve plate having substantially same shape and size as those of the cross-section and a shaft formed in the pressure control valve along the horizontal length of the cross-section, in such a manner that the pressure control valve plate rotates about the shaft.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: February 21, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa Nozawa, Shinji Komoto, Masahide Iwasaki
  • Patent number: 9263240
    Abstract: A system and method of plasma processing includes a plasma chamber including a substrate support and an upper electrode opposite the substrate support, the upper electrode having a plurality of concentric temperature control zones and a controller coupled to the plasma chamber.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: February 16, 2016
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Ryan Bise, Lumin Li, Sang Ki Nam, Jim Rogers, Eric Hudson, Gerardo Delgadino, Andrew D. Bailey, III, Mike Kellogg, Anthony de la Llera
  • Patent number: 9245719
    Abstract: In one embodiment, a dual phase cleaning chamber may include a turbulent mixing chamber, a fluid diffuser, an isostatic pressure chamber and a rupture mitigating nozzle. The turbulent mixing chamber may be in fluid communication with a first fluid inlet and a second fluid inlet. The fluid diffuser may be in fluid communication with the turbulent mixing chamber. The rupture mitigating nozzle may include a first fluid collecting offset, a second fluid collecting offset, and a displacement damping projection. The displacement damping projection may be disposed between the first and second fluid collecting offset and may be offset away from each of the first fluid collecting offset and the second fluid collecting offset, and towards the fluid diffuser. A pressurized cleaning fluid introduced from the first fluid inlet, the second fluid inlet, or both flows through the outlet passage of the first and second fluid collecting offset.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: January 26, 2016
    Assignee: Lam Research Corporation
    Inventors: Armen Avoyan, Cliff La Croix, Hong Shih
  • Publication number: 20150144263
    Abstract: A substrate heating pedestal for a process chamber for processing substrates is described. The pedestal comprises an annular plate comprising a surface having an array of recesses. A plurality of ceramic balls are each positioned in a recess on the surface of the annular plate to define a substrate receiving surface. A heating element is embedded in the annular plate.
    Type: Application
    Filed: February 3, 2015
    Publication date: May 28, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin RIKER, Wei W. WANG
  • Patent number: 9038567
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: May 26, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Publication number: 20150136735
    Abstract: To provide a plasma processing device and a plasma processing method capable of performing high-speed processing. In an inductively-coupled plasma torch unit, a coil, a lid and a first ceramic block are bonded together, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. The first ceramic block is cooled efficiently by allowing a refrigerant to flow in a refrigerant flow path.
    Type: Application
    Filed: July 22, 2014
    Publication date: May 21, 2015
    Inventor: TOMOHIRO OKUMURA
  • Patent number: 9032906
    Abstract: Embodiments of the invention provide an apparatus configured to form a material during an atomic layer deposition (ALD) process, such as a plasma-enhanced ALD (PE-ALD) process. In one embodiment, a plasma baffle assembly for receiving a process gas within a plasma-enhanced vapor deposition chamber is provided which includes a plasma baffle plate containing an upper surface to receive a process gas and a lower surface to emit the process gas, a plurality of openings configured to flow the process gas from above the upper surface to below the lower surface, wherein each opening is positioned at a predetermined angle of a vertical axis that is perpendicular to the lower surface, and a conical nose cone on the upper surface. In one example, the openings are slots positioned at a predetermined angle to emit the process gas with a circular flow pattern.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: May 19, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Paul Ma, Kavita Shah, Dien-Yeh Wu, Seshadri Ganguli, Christophe Marcadal, Frederick C. Wu, Schubert S. Chu
  • Patent number: 9028765
    Abstract: Porogen accumulation in a UV-cure chamber may be reduced by removing outgassed porogen by flowing a purge gas across a window through which a wafer is exposed to UV light. Porogens in the purge gas stream may, as they flow through the chamber and into an exhaust baffle, deposit on surfaces within the chamber, including on the exhaust baffle. The exhaust baffle may have particular features that cause such porogen deposition to be more uniformly distributed across the exhaust baffle, thus reducing the amount of time that may be required to fully clean the baffle of accumulated porogens during a cleaning process.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: May 12, 2015
    Assignee: Lam Research Corporation
    Inventors: Lisa Marie Gytri, Stephen Yu-Hong Lau, James Forest Lee
  • Patent number: 9028648
    Abstract: Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device, which perform a cleaning process on the inside of an exhaust buffer chamber even if gases are exhausted using the exhaust buffer chamber. The substrate processing apparatus includes a processing space to process a substrate on a substrate placing surface, a gas supply system to supply gases into the processing space through a side facing the substrate placing surface, an exhaust buffer chamber including a communication hole communicating with the processing space at least at a side portion of the processing space and a gas flow blocking wall extending in a blocking direction of the gases flowing through the communication hole, a gas exhaust system configured to exhaust the gases supplied into the exhaust buffer chamber, and a cleaning gas supply pipe configured to supply a cleaning gas into the exhaust buffer chamber.
    Type: Grant
    Filed: September 23, 2014
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tsukasa Kamakura, Kenji Kameda
  • Publication number: 20150126037
    Abstract: This disclosure relates to a plasma processing system for controlling plasma density across a substrate and maintaining a tight ion energy distribution within the plasma. In one embodiment, this may include using a dual plasma chamber system including a non-ambipolar plasma chamber and a DC plasma chamber adjacent to the non-ambipolar system. The DC plasma chamber provide power to generate the plasma by rotating the incoming power between four inputs from a VHF power source. In one instance, the power to each of the four inputs are at least 90 degrees out of phase from each other.
    Type: Application
    Filed: November 6, 2014
    Publication date: May 7, 2015
    Inventors: Lee Chen, Zhiying Chen
  • Publication number: 20150118867
    Abstract: To provide a plasma processing device, a plasma processing method and a method of manufacturing electronic devices capable of performing high-speed processing as well as using the plasma stably. In an inductively-coupled plasma torch unit, a coil, a first ceramic block and a second ceramic block are arranged in parallel, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. A discharge suppression gas is introduced into a space between the inductively-coupled plasma torch unit and the substrate inside the chamber through a discharge suppression gas supply hole, thereby generating long plasma stably.
    Type: Application
    Filed: July 25, 2014
    Publication date: April 30, 2015
    Inventor: TOMOHIRO OKUMURA
  • Publication number: 20150107770
    Abstract: Provided is a side storage unit, including a cleaning chamber to receive a plurality of substrates, the cleaning chamber having a gas supplier to supply therethrough cleaning gases for removing fumes from the substrate, and a plurality of discharge openings to discharge therethrough a mixture of the fumes and the cleaning gases; a plurality of substrate holders arranged on an inner sidewall of the cleaning chamber and supporting the substrates in the cleaning chamber, each of the substrate holders having at least one gas injector connected to the gas supplier to supply the cleaning gases onto a surface of the substrate; and a discharge assembly connected to the discharge openings to discharge the mixture of the fumes and the cleaning gases.
    Type: Application
    Filed: September 5, 2014
    Publication date: April 23, 2015
    Inventors: Hyun-Sun CHOI, Tae-Hoon KIM, Jung-Bong YUN, Byeung-Wook CHOI
  • Publication number: 20150107771
    Abstract: A trap apparatus includes: a first cylindrical member including a space; a second cylindrical member removably disposed in the space and including side opening which allows a gas stream to flow in therethrough, and a downstream side opening which allows the gas stream flowing in from the upstream side opening to flow out therethrough; a downstream side trap member which is disposed inside the second cylindrical member to block the downstream side opening; and an upstream side trap member which is disposed between the downstream side trap member and the upstream side opening of the second cylindrical member and includes a concave portion recessed in a direction approaching the downstream side trap member.
    Type: Application
    Filed: October 20, 2014
    Publication date: April 23, 2015
    Applicants: TOHOKU SEIMITSU CO., LTD., TOKYO ELECTRON LIMITED
    Inventors: Atsushi KOBAYASHI, Shinji AKIYAMA, Shuuichi ANDOU, Katsuhiro KOSUGA, Takahiro YAMAMOTO
  • Publication number: 20150104949
    Abstract: In some embodiments of the present disclosure, an apparatus includes an ionizer. The ionizer is configured to dispatch a reactive ion on a surface. The apparatus also has an implanter and the implanter has an outlet releasing an accelerated charged particle on the surface.
    Type: Application
    Filed: October 14, 2013
    Publication date: April 16, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: NAI-HAN CHENG, CHI-MING YANG
  • Publication number: 20150090692
    Abstract: A plasma processing apparatus and a plasma processing method are provided which can sufficiently suppress an abnormal discharge in a gas space. A plasma processing apparatus includes a high frequency power source connected between a processing chamber and a base stand; a gas storage unit provided within the base stand and configured to store a gas; a blocking mechanism configured to block a gas introducing port of the gas storage unit; and a connection unit configured to connect a space between a disposition position of a wafer and the base stand, to the gas storage unit.
    Type: Application
    Filed: September 24, 2014
    Publication date: April 2, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu SASAKI, Akihito FUSHIMI, Manabu IWATA
  • Patent number: 8993352
    Abstract: A plasma processing method is used to etch a multilayered material having a stacked structure, in which a first magnetic layer, an insulating layer, a second magnetic layer, and a mask material are stacked in sequence, in a plasma processing apparatus including a processing chamber that partitions a processing space where plasma is generated and a gas supply unit that supplies a processing gas into the processing space. The plasma processing method includes a mask forming process of forming a mask on the second magnetic layer by etching the mask material; an etching process of supplying the processing gas into the processing chamber to generate plasma, etching the second magnetic layer by the mask, and stopping the etching on a surface of the insulating layer. Further, the second magnetic layer contains CoFeB, the insulating layer contains MgO, and the processing gas contains H2 and F or a fluorine compound.
    Type: Grant
    Filed: November 14, 2013
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Tadashi Kotsugi, Takashi Sone
  • Patent number: 8973527
    Abstract: A plasma processing apparatus is provided with a first exhaust path which extends downward from an exhaust hole; a second exhaust path which is connected to a downstream end portion of the first exhaust path in the exhausting direction and extends in a direction perpendicular to a direction in which the first exhaust path extends, and whose cross-section, which orthogonally intersects with the exhausting direction, is horizontally long such that a widthwise length is greater than a vertical length in the cross-section; a third exhaust path which is connected to a downstream end portion of the second exhaust path in the exhausting direction and extends in a direction perpendicular to the direction in which the second exhaust path extends; a pump which is connected to a downstream end portion of the third exhaust path in the exhausting direction and depressurizes an inside of a processing container; a pressure control valve which is provided in the second exhaust path, and comprises a pressure control valve pla
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: March 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Shinji Komoto, Masahide Iwasaki
  • Publication number: 20150064810
    Abstract: An embodiment low contamination chamber includes a gas inlet, an adjustable top electrode, an adjustable bottom electrode, and an outlet. The chamber is configured to adjust a distance between the adjustable top and bottom electrodes in accordance with a desired density of plasma disposed between the top electrode and the bottom electrode.
    Type: Application
    Filed: August 30, 2013
    Publication date: March 5, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ping-Yin Liu, Xin-Hua Huang, Lee-Chuan Tseng, Lan-Lin Chao
  • Publication number: 20150060013
    Abstract: Embodiments include a pedestal to support a workpiece during plasma processing with tunable temperature control. In one embodiment, the pedestal includes an electrostatic chuck (ESC) having a top surface over which the workpiece is to be disposed. The pedestal includes one or more heating elements disposed under the top surface of the ESC. The pedestal includes a cooling base disposed under the ESC. The pedestal includes a plurality of compartments disposed between the cooling base and the top surface of the ESC, the plurality of compartments independently controllable to different pressures. One or more controllers independently control pressure in a first of the plurality of compartments to a first pressure and in a second of the plurality of compartments to a second pressure.
    Type: Application
    Filed: September 5, 2013
    Publication date: March 5, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Douglas A. Buchberger, JR.
  • Patent number: 8968475
    Abstract: A substrate processing apparatus, which is provided with a processing chamber configured to process a substrate and capable of being depressurized, includes a substrate placing table configured to place a substrate; a baffle plate disposed around the substrate placing table so as to divide an inside of the processing chamber into a processing space and an exhaust space; and an exhaust port configured to exhaust the inside of the processing chamber. A gap is formed between the substrate placing table and the baffle plate and a plurality of communication holes are formed in the baffle plate so that the processing space and the exhaust space communicate with each other.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masaya Odagiri, Shigeki Tozawa, Hajime Ugajin
  • Patent number: 8970114
    Abstract: A temperature controlled dielectric window of an inductively coupled plasma processing chamber includes a dielectric window forming a top wall of the plasma processing chamber having at least first and second channels therein. A liquid circulating system includes a source of cold liquid circulating in a first closed loop which is not in fluid communication with the channels, a source of hot liquid circulating in a second closed loop which is in fluid communication with the channels, and first and second heat exchangers. The cold liquid passes through the first heat exchanger at a controllable flow rate and temperature of the hot liquid is adjusted by heat exchange with the cold liquid as the hot liquid passes through the first heat exchanger and then through the inlet of the first channel.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: March 3, 2015
    Assignee: Lam Research Corporation
    Inventors: Matt Busche, Adam Mace, Michael Kang, Allan Ronne
  • Publication number: 20150041061
    Abstract: Embodiments of the present invention provide apparatus and methods for reducing non-uniformity and/or skews during substrate processing. One embodiment of the present invention provides a flow equalizer assembly for disposing between a vacuum port and a processing volume in a processing chamber. The flow equalizing assembly includes a first plate having at least one first opening, and a second plate having two or more second openings. The first and second plates define a flow redistributing volume therebetween, and the at least one first opening and the two or more second openings are staggered.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 12, 2015
    Inventors: Sergio Fukuda SHOJI, Hamid NOORBAKHSH, Jong Mun KIM, Jason Della ROSA, Ajit BALAKRISHNA
  • Patent number: 8945340
    Abstract: A plasma processing apparatus includes a processing chamber that converts a processing gas introduced from a gas supply source into plasma and performs plasma processing on a target object, an exhaust chamber that communicates with the inside of the processing chamber to exhaust a gas converted into plasma from the processing chamber, and a blocking cover that is provided in the exhaust chamber to block communication between the inside of the processing chamber and the inside of the exhaust chamber.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Masashi Saito
  • Patent number: 8945339
    Abstract: A film formation apparatus includes a gas supply mechanism for supplying an aminosilane-based gas, and a silane-based gas that does not include an amino group. Processes of forming a seed layer on a surface of the insulation film having the opening reaching the conductive substance and on a bottom surface of the opening by supplying the aminosilane-based gas into the process chamber, and forming a silicon film on the seed layer by supplying the silane-based gas that does not include the amino group into the process chamber, are sequentially performed in the process chamber.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Akinobu Kakimoto, Katsuhiko Komori, Kazuhide Hasebe
  • Publication number: 20150020973
    Abstract: A plasma etching apparatus includes: a housing defining a plasma processing chamber; a workpiece retaining unit disposed within the plasma processing chamber of the housing and retaining a workpiece on an upper surface of the workpiece retaining unit; a processing gas injecting unit injecting a processing gas for plasma generation onto the workpiece retained by the workpiece retaining unit, the processing gas injecting unit including a processing gas jetting portion; a processing gas supply unit supplying the processing gas to the processing gas injecting unit; and a pressure reducing unit reducing a pressure within the plasma processing chamber. The processing gas jetting portion of the processing gas injecting unit includes a central injecting portion and a peripheral injecting portion surrounding the central injecting portion.
    Type: Application
    Filed: July 8, 2014
    Publication date: January 22, 2015
    Inventors: Junichi Arami, Kenji Okazaki
  • Publication number: 20150013909
    Abstract: Provided is a substrate processing apparatus.
    Type: Application
    Filed: November 16, 2012
    Publication date: January 15, 2015
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Sung-Tae Je, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 8927435
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Grant
    Filed: May 8, 2013
    Date of Patent: January 6, 2015
    Assignee: ASM America, Inc.
    Inventors: Ravinder K. Aggarwal, Jeroen Stoutjesdijk, Eric R. Hill, Loring G. Davis, John T. DiSanto
  • Publication number: 20140366968
    Abstract: A gas delivery system for a plasma process system such as a plasma etching system wherein inner surfaces of gas passages are coated with a corrosion-resistant material coating formed by curing a layer of fluidic precursor deposited on the inner surfaces. The coating can be formed by (a) flowing a fluidic precursor of a corrosion-resistant material through the gas passages and depositing a layer of the fluidic precursor to completely coat the inner surfaces of the gas passages; (b) removing excess fluidic precursor from the inner surfaces; (c) curing the deposited layer of the fluidic precursor to form a corrosion-resistant material coating.
    Type: Application
    Filed: August 29, 2014
    Publication date: December 18, 2014
    Inventors: Ian Kenworthy, Duane Outka, Fangli Hao, Leonard Sharpless, Yijun Du
  • Publication number: 20140363587
    Abstract: Disclosed is a substrate processing apparatus and method which facilitate to improve uniformity of thin film material and also facilitate to control quality of thin film by the use of plasma forming space and source gas distributing space separately provided from each other, wherein the substrate processing apparatus includes a process chamber; a substrate support for supporting a plurality of substrates, the substrate support rotatably provided inside the process chamber; and a electrode unit arranged above the substrate support and provided with the plasma forming space and the source gas distributing space, wherein the plasma forming space is spatially separated from the source gas distributing space.
    Type: Application
    Filed: December 21, 2012
    Publication date: December 11, 2014
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventors: Song Whe Huh, Jeung Hoon Han
  • Publication number: 20140360670
    Abstract: A processing system is disclosed, having a plasma source chamber that excites source plasma to generate an electron beam, and a process chamber that houses a substrate for exposure of the substrate to the electron beam. The processing system also includes an electron injector that injects electrons from the source plasma into the electron beam as the electron beam enters the process chamber. The electron beam includes a substantially equal number of electrons and positively charged ions in the process chamber. In one embodiment, the processing system also includes a magnetic field generator that generates a magnetic field in the process chamber to capture the electrons included in the electron beam to generate a voltage potential between the magnetic field generator and the substrate. The voltage potential accelerates the positively charged ions to the substrate and minimizes the electrons that reach the substrate.
    Type: Application
    Filed: September 13, 2013
    Publication date: December 11, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lee Chen, Merritt Funk, Zhiying Chen
  • Patent number: 8906160
    Abstract: Embodiments of the present invention provide vapor deposition tools. In one example, a vapor deposition tool includes housing. A substrate support is positioned within the housing and configured to support a substrate. A backing plate is positioned above the substrate support. A showerhead is positioned between the substrate support and the backing plate and has a plurality of openings therethrough. A fluid trap member is positioned around a periphery of the showerhead. A fluid trap member actuator is coupled to the fluid trap member and configured to move the fluid trap member between first and second positions relative to the backing plate.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: December 9, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Richard Endo, Edward Haywood
  • Patent number: 8900403
    Abstract: A semiconductor substrate processing system includes a chamber that includes a processing region and a substrate support. The system includes a top plate assembly disposed within the chamber above the substrate support. The top plate assembly includes first and second sets of plasma microchambers each formed into the lower surface of the top plate assembly. A first network of gas supply channels are formed through the top plate assembly to flow a first process gas to the first set of plasma microchambers to be transformed into a first plasma. A set of exhaust channels are formed through the top plate assembly. The second set of plasma microchambers are formed inside the set of exhaust channels. A second network of gas supply channels are formed through the top plate assembly to flow a second process gas to the second set of plasma microchambers to be transformed into a second plasma.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Richard Gottscho