With Etchant Gas Supply Or Exhaust Structure Located Outside Of Etching Chamber (e.g., Supply Tank, Pipe Network, Exhaust Pump, Particle Filter) Patents (Class 156/345.29)
  • Patent number: 8070879
    Abstract: In one embodiment, an apparatus for performing an atomic layer deposition (ALD) process is provided which includes a chamber body containing a substrate support, a lid assembly attached to the chamber body, a remote plasma system (RPS) in fluid communication with the reaction zone, a centralized expanding conduit extending through the lid assembly and expanding radially outwards, a first gas delivery sub-assembly configured to deliver a first process gas, and a second gas delivery sub-assembly configured to deliver a second process gas into the centralized expanding conduit. The first gas delivery sub-assembly contains an annular channel encircling and in fluid communication with the centralized expanding conduit, wherein the annular channel is adapted to deliver the first process gas through a plurality of passageways and nozzles and into the centralized expanding conduit. The second gas delivery sub-assembly contains a gas inlet in fluid communication to the centralized expanding conduit.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: December 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Vincent W. Ku, Mei Chang, Dien-Yeh Wu, Hua Chung
  • Publication number: 20110290419
    Abstract: There is provided a plasma processing apparatus capable of easily exhausting a processing gas introduced in a space above a vertically movable upper electrode. The plasma processing apparatus includes a vertically movable upper electrode 120 installed at a ceiling wall 105 of a processing chamber 102 so as to face a lower electrode 111 and having a multiple number of discharge holes 123 for introducing the processing gas; a shield sidewall 310 configured to surround the electrodes and a processing space between the electrodes; an inner gas exhaust path 330 formed at the inside of the shield sidewall and configured to exhaust the atmosphere in the processing space; and an outer gas exhaust path 138 installed at the outside of the shield sidewall and configured to exhaust the processing gas introduced into a space between the upper electrode and the ceiling wall.
    Type: Application
    Filed: May 24, 2011
    Publication date: December 1, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masato Horiguchi, Hiroshi Tsujimoto, Takashi Kitazawa
  • Patent number: 8066815
    Abstract: A multi-workpiece chamber includes at least two processing stations, for exposing workpieces to a treatment process. A partition cooperates with the chamber such that the partition is disengagably removable from the chamber and re-engagable with the chamber for selectively dividing the processing stations. The partition is configured to provide for non-line-of-sight travel of certain ones of the process related products between the processing stations. An exhaust arrangement divides exhaust flow into at least two approximately equal exhaust flow portions that leave the multi-workpiece chamber in a way which enhances uniformity of the treatment process for the stations. A partition configuration is described including a partition portion between the stations and a baffle portion extending into an exhaust arrangement. A modified partition arrangement is provided for use in establishing a modified exchange characteristic of the process related products.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: November 29, 2011
    Assignee: Mattson Technology, Inc.
    Inventors: Daniel J. Devine, Rene George, Ce Qin, Dixit Desai
  • Patent number: 8062427
    Abstract: Apparatus for metering and vaporizing a particulate material, includes a metering device for metering particulate material including: a reservoir for receiving particulate material; a housing having an internal volume and having first and second openings; a rotatable shaft disposed in the internal volume, the shaft having a smooth surface and a circumferential groove; a structure disposed in the reservoir for delivery and cooperating with the rotating shaft for fluidizing particulate material and transporting it from the reservoir into the groove; the shaft and internal volume cooperating such that particulate material is transported by the groove; a scraper cooperates with the groove to dislodge particulate material retained therein and fluidize the scraped particulate material, and deliver metered amounts of particulate material through the second opening; and a flash evaporator that receives and flash vaporizes the metered particulate material.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: November 22, 2011
    Assignee: Global OLED Technology LLC
    Inventors: Michael Long, Thomas W. Palone
  • Patent number: 8048230
    Abstract: Apparatus for metering and vaporizing a particulate material, includes: a metering device for metering particulate material having: a reservoir for receiving particulate material; a housing having first and second openings; a rotatable shaft disposed in the internal volume, the shaft having a smooth surface and a circumferential groove; a rotating agitator with a plurality of tines disposed in the reservoir and cooperating with the rotating shaft for fluidizing particulate material and transporting it from the reservoir into the groove; cooperating such that particulate material is transported by the groove; a scraper cooperates with the groove to dislodge particulate material retained therein, and deliver metered amounts of particulate material through the second opening; a structure fluidizes the particulate material at the second opening; and a flash evaporator that receives and flash vaporizes the metered particulate material.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: November 1, 2011
    Assignee: Global OLED Technology LLC
    Inventors: Michael Long, Thomas W. Palone
  • Publication number: 20110259522
    Abstract: A vacuum processing apparatus includes a transfer unit disposed at a center thereof, plural processing chambers, each processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas, and a mass flow controller unit interposed between two of the processing chambers for supplying gas to the chambers.
    Type: Application
    Filed: July 6, 2011
    Publication date: October 27, 2011
    Inventors: Akitaka MAKINO, Youji TAKAHASHI, Minoru SORAOKA, Hideki KIHARA, Susumu TAUCHI
  • Publication number: 20110259521
    Abstract: The density of a treatment fluid in exhaust gas is reduced, the amount of the treatment fluid that flows into exhausting equipment connected to a substrate treatment apparatus is reduced, and a load on the exhausting equipment is reduced. A substrate treatment apparatus includes: a substrate treating unit that treats a substrate; a treatment fluid supply unit that supplies, to the substrate treating unit, a treatment fluid used to treat the substrate; and an exhaust gas treating unit into which an exhaust gas containing the treatment fluid discharged from the substrate treating unit is introduced. The exhaust gas treating unit includes spray nozzles that spray a solvent toward the exhaust gas, the solvent dissolving the treatment fluid, thereby reducing the density of the treatment fluid in the exhaust gas. The exhaust gas treating unit has porous dispersion plates that cause the exhaust gas to disperse.
    Type: Application
    Filed: November 6, 2009
    Publication date: October 27, 2011
    Applicant: Tokyo Electron Limited
    Inventors: Hironobu Hyakutake, Koji Yamashita, Shingo Kamitomo
  • Patent number: 8043659
    Abstract: A substrate processing method capable of controlling the internal pressure of a processing chamber to a high pressure and exhausting gases within the processing chamber at a high rate. The substrate processing method is for use in a substrate processing apparatus having a processing chamber, a supply unit supplying a processing gas into the processing chamber, a first pipe connected to the processing chamber at one end thereof, a turbo molecular pump disposed in the first pipe, a first shutoff valve disposed between the processing chamber and the turbo molecular pump in the first pipe, a second pipe connected to the processing chamber at one end thereof, a pressure control valve disposed in the second pipe, and a dry pump connected to the other end of the first pipe and to the other end of the second pipe.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: October 25, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Eiji Takahashi, Norihiko Amikura
  • Publication number: 20110253672
    Abstract: The present invention is intended to improve the uniformity in a distribution function of incident ion energy inside a wafer surface, and realize uniform plasma processing (etching or the like) inside the wafer surface. In a plasma processing apparatus, a bias application portion of a placement electrode on which a wafer is placed is divided into an inner electrode and an outer electrode at positions near the center of the wafer and the edge thereof. Each of a first bias power and a second bias power to be used to accelerate ions incident on the wafer is bifurcated, and the resultant bias powers are fed to the inner electrode and outer electrode using a power distributor by adjusting the power ratio.
    Type: Application
    Filed: August 12, 2010
    Publication date: October 20, 2011
    Inventors: Masami Kamibayashi, Masahito Mori, Hiroyuki Kobayashi, Keizo Suzuki, Naoyuki Kofuji
  • Patent number: 8038834
    Abstract: A plasma processing system includes a processing chamber, a substrate holder configured to hold a substrate for plasma processing, and a gas injection assembly. The gas injection assembly includes a first evacuation port located substantially in a center of the gas injection assembly and configured to evacuate gases from a central region of the substrate, and a gas injection system configured to inject gases in the process chamber. The plasma processing system also includes a second evacuation port configured to evacuate gases from a peripheral region surrounding the central region of the substrate.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: October 18, 2011
    Assignees: Tokyo Electron Limited, International Business Machines Corporation (“IBM”)
    Inventors: Merritt Funk, David V. Horak, Eric J. Strang, Lee Chen
  • Patent number: 8034175
    Abstract: A method for manufacturing a semiconductor device, comprises providing a semiconductor layer deposited on a substrate with heat treatment by using a flame of a gas burner fueled by a hydrogen-and-oxygen mixed gas as a heat source.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: October 11, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Sumio Utsunomiya, Mitsuru Sato
  • Patent number: 8021513
    Abstract: A substrate processing apparatus, adapted to provide a process, such as etching, to a substrate, includes a processing vessel, an ambient atmospheric carrying chamber, a carrying member for carrying the substrate, and a functional module located on a carrying route of the substrate. A first air stream is provided in the ambient atmospheric carrying chamber, and a second air stream is provided in the functional module so as to create a stream of air directed toward the ambient atmospheric carrying chamber from the functional module so as to prevent by-products of the process provided to the substrate from entering the functional module.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Wakabayashi
  • Publication number: 20110220143
    Abstract: A device for cleaning an inner surface of a workpiece includes a beam of radiation, at least one generating unit for generating the beam, a drive unit for rotating the at least one generating unit about an axis of rotation, where the at least one generating unit is configured with a preferential direction towards the surface to be treated. So that an inner surface of the workpiece can be cleaned with short effect times of the beam, it is provided that an arm connected to the at least one generating unit is provided for inserting the generating unit into the workpiece and that the axis of rotation and the preferential direction are inclined relative to one another.
    Type: Application
    Filed: April 3, 2009
    Publication date: September 15, 2011
    Applicant: PlasmaTreat GmbH
    Inventors: Christian Buske, Peter Förnsel
  • Publication number: 20110212624
    Abstract: A method of etching a semiconductor wafer including injecting a source gas mixture into a process chamber including injecting the source gas mixture into a multiple hollow cathode cavities in a top electrode of the process chamber and generating a plasma in each one of the hollow cathode cavities. Generating the plasma in the hollow cathode cavities includes applying a first biasing signal to the hollow cathode cavities. The generated plasma or activated species is output from corresponding outlets of each of the hollow cathode cavities into a wafer processing region in the process chamber. The wafer processing region is located between the outlets of the hollow cathode cavities and a surface to be etched. An etchant gas mixture is injected into the wafer processing region. A plasma can also be supported and/or generated in the wafer processing region.
    Type: Application
    Filed: February 26, 2010
    Publication date: September 1, 2011
    Inventor: Eric A. Hudson
  • Publication number: 20110203611
    Abstract: Embodiments disclose a method for cleaning a mask having a mask film that is of a surface to which a foreign substance containing silicon oxide adheres. In the method, the mask is retained in a cleaning gas containing diluted hydrofluoric acid vapor at a temperature at which an etching rate to the foreign substance becomes higher than an etching rate to the mask film. Further, in the method, the cleaning gas is supplied to the surface of the mask to etch the foreign substance.
    Type: Application
    Filed: December 10, 2010
    Publication date: August 25, 2011
    Inventors: Eri UEMURA, Makiko KATANO, Yuji YAMADA
  • Publication number: 20110207302
    Abstract: Embodiments described herein relate to improving the quality of a substrate and the performance of a semiconductor device, which is caused by contaminates or particles being engrained into a substrate with a silicon film formed thereon, and forming a silicon film with a small surface roughness. Provided is a semiconductor device manufacturing method that includes forming a silicon film on a substrate, supplying an oxidation seed onto the substrate, performing heat treatment on the silicon film, modifying the surface layer of the silicon film into an oxidized silicon film, and removing the oxidized silicon film.
    Type: Application
    Filed: February 23, 2011
    Publication date: August 25, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Jie WANG, Osamu KASAHARA, Kazuhiro YUASA, Keigo NISHIDA
  • Publication number: 20110174440
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber; a first electrode for mounting thereon a substrate to be processed in the processing chamber; a second electrode facing the first electrode in parallel in the processing chamber; and a processing gas supply unit for supplying a processing gas to a processing space between the first and the second electrode. The apparatus further includes a first high frequency power supply for applying a first high frequency power for generating a plasma of the processing gas to at least one of the first and the second electrode; and a cavity plasma generation unit, having a cavity formed in one of the first and the second electrode, for generating a plasma of a discharging gas in the cavity.
    Type: Application
    Filed: November 14, 2008
    Publication date: July 21, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yohei YAMAZAWA
  • Publication number: 20110174775
    Abstract: To prevent a processing gas from leaking from a processing tank for processing a surface of a substrate and to stabilize flow of the processing gas in a processing space. A substrate 9 is conveyed into the inside of a processing tank 10 through an entrance port 13 by a conveyor 20 and positioned in a processing space 19. A processing gas is supplied to the processing space 19 by a supply system 30, and the substrate 9 is surface processed. Subsequently, the substrate 9 is conveyed out through an exit port 14. Gas inside of the processing tank 10 is exhausted by an exhaust system 40. The exhausting of gas causes gas outside of the processing tank 10 to inflow into the inside of the processing tank 10 through the openings 13, 14 such that an average flow velocity of the inflow gas is at least 0.1 m/sec yet smaller than a velocity that would allow the inflow gas to reach the processing space 19.
    Type: Application
    Filed: September 16, 2009
    Publication date: July 21, 2011
    Applicant: SEKISUI CHEMICAL CO., LTD.
    Inventors: Takashi Umeoka, Hirofumi Yagisawa, Satoshi Mayumi, Takashi Satoh, Shunsuke Kunugi
  • Patent number: 7976671
    Abstract: A plasma reactor for processing a workpiece such as a mask or wafer includes a vacuum chamber having a cylindrical side wall, a ceiling overlying the side wall and a ring supported on a top edge of the side wall and supporting the ceiling, the ring comprising an external surface and an interior surface. An RF plasma source power applicator and an RF source power generator coupled to the applicator furnish plasma source power. Plural passages extend in a radial direction through the ring from the external surface to the interior surface and are spaced apart along a circumference of the ring. A process gas supply furnishes process gas. An external gas flow conduit apparatus outside of the chamber extends around a circumference of the chamber and is coupled to the process gas supply.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Madhavi R. Chandrachood, Michael N. Grimbergen, Khiem K. Nguyen, Richard Lewington, Ibrahim M. Ibrahim, Sheeba J. Panayil, Ajay Kumar
  • Patent number: 7972443
    Abstract: Apparatus for metering and vaporizing a particulate material, includes: a metering device having: a reservoir for receiving particulate material; a housing having an internal volume and having first and second openings; a rotatable shaft disposed in the internal volume, the shaft having a smooth surface and a circumferential groove; a rotating agitator with a plurality of tines disposed in the reservoir and cooperating with the rotating shaft for fluidizing particulate material and transporting it from the reservoir into the groove; cooperating such that particulate material is transported by the groove; a scraper cooperates with the groove to dislodge particulate material retained therein, and deliver metered amounts of particulate material through the second opening; the rotatable shaft and the scraper cooperates to fluidize the particulate material at the second opening; and a flash evaporator that flash vaporizes the received particulate material.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: July 5, 2011
    Assignee: Global OLED Technology LLC
    Inventors: Michael Long, Thomas W. Palone
  • Publication number: 20110136346
    Abstract: Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 9, 2011
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Phillip Geissbühler, Ivan Berry, Armin Huseinovic, Shijian Luo, Aseem Kumar Srivastava, Carlo Waldfried
  • Publication number: 20110121058
    Abstract: A microwave plasma processing apparatus has a microwave antenna in which a wavelength-shortening plate and a cooling portion of a cooling jacket are arranged adjacent to each other
    Type: Application
    Filed: June 24, 2009
    Publication date: May 26, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Shinya Nishimoto
  • Publication number: 20110120649
    Abstract: The invention provides a plasma processing apparatus for processing a wafer mounted on a sample stage placed in a vacuum processing chamber using a plasma generated in the vacuum chamber. The plasma processing apparatus comprises a plate placed in the vacuum processing vessel above and opposed to the wafer, the plate having a through hole through which a first processing gas is introduced; a first and second cylindrical member arranged vertically and adjacently; and means communicating with the gap between the first and second cylindrical member for supplying a second processing gas. The wafer is processed while the first processing gas and the second processing gas having different compositions are supplied.
    Type: Application
    Filed: February 2, 2011
    Publication date: May 26, 2011
    Inventors: Kouhei SATOU, Go Miya, Hiroshi Akiyama
  • Publication number: 20110124144
    Abstract: A substrate processing apparatus includes an evacuatable process chamber configured to receive a substrate carrier having at least one substrate, a plasma generating module, a gas feed, a gas discharge and a vapor etching module provided in the process chamber. A substrate processing method includes introducing a substrate carrier including at least one substrate into an evacuatable process chamber, generating a plasma in a plasma process using a plasma generating module in a gas or a gas mixture, performing a vapor etching of the at least one substrate before, after or alternatingly with the plasma process and performing at least one of a coating, etching, surface modification and cleaning of the substrate.
    Type: Application
    Filed: March 17, 2009
    Publication date: May 26, 2011
    Applicant: ROTH & RAU AG
    Inventors: Hermann Schlemm, Matthias Uhlig
  • Publication number: 20110108059
    Abstract: The plasma reactor defines a reaction chamber provided with a support for the metallic pieces and an anode-cathode system, and a heating means is mounted externally to said plasma reactor. The plasma process, for a cleaning operation, includes the steps of connecting the support to the grounded anode and the cathode to a negative potential of a power source; feeding an ionizable gaseous charge into the reaction chamber and heating the latter at vaporization temperatures of piece contaminants; applying an electrical discharge to the cathode; and providing the exhaustion of the gaseous charge and contaminants. A subsequent heat treatment includes the steps of: inverting the energization polarity of the anode-cathode system; feeding a new gaseous charge to the reaction chamber and maintaining it heated; applying an electrical discharge to the cathode; and exhausting the gaseous charge from the reaction chamber.
    Type: Application
    Filed: June 9, 2009
    Publication date: May 12, 2011
    Inventors: Roberto Binder, Aloisio Klein, Cristiano Binder, Gisele Hammes
  • Publication number: 20110100554
    Abstract: Embodiments of a parallel system for epitaxial deposition are disclosed herein. In some embodiments, a parallel system for epitaxial deposition includes a first body having a first process chamber and a second process chamber disposed within the first body; a shared gas injection system coupled to each of the first and the second process chambers; and a shared exhaust system coupled to each of the first and second process chambers, the exhaust system having independent control of an exhaust pressure from each chamber. In some embodiments, the gas injection system provides independent control of flow rate of a gas entering each chamber.
    Type: Application
    Filed: September 7, 2010
    Publication date: May 5, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: DAVID K. CARLSON, ERROL ANTONIO C. SANCHEZ, HERMAN P. DINIZ
  • Publication number: 20110100555
    Abstract: A semiconductor device manufacturing apparatus includes a process chamber, a conveyance chamber, a conveyance robot, a lock chamber, and a heating unit or temperature adjusting unit for reducing adherence of particles onto a substance to be processed by a thermo-phoretic force. The heating unit enables control of a temperature of the substance to be processed to be higher than a temperature of an inner wall or structural body of the process chamber or the conveyance chamber or the conveyance robot or the lock chamber, in conveying the substance to be processed. The temperature adjusting unit enables adjustment of a temperature of an inner wall or structural body of the process chamber or the conveyance chamber or the lock chamber to be lower than a temperature of the substance to be processed, in conveying the substance to be processed.
    Type: Application
    Filed: January 10, 2011
    Publication date: May 5, 2011
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa
  • Publication number: 20110094682
    Abstract: A plasma processing apparatus includes a processing chamber, a part of which is formed of a dielectric window; a substrate supporting unit, provided in the processing chamber, for mounting a target substrate; a processing gas supply unit for supplying a processing gas to the processing chamber to perform a plasma process on the target substrate; an RF antenna, provided outside the dielectric window, for generating a plasma from the processing gas by an inductive coupling in the processing chamber; and an RF power supply unit for supplying an RF power to the RF antenna. The RF antenna includes a single-wound or multi-wound coil conductor having a cutout portion in a coil circling direction; and a pair of RF power lines from the RF power supply unit are respectively connected to a pair of coil end portions of the coil conductor that are opposite to each other via the cutout portion.
    Type: Application
    Filed: October 27, 2010
    Publication date: April 28, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Kazuki Denpoh, Jun Yamawaku
  • Publication number: 20110094995
    Abstract: A plasma processing apparatus includes: a processing chamber including a dielectric window; a coil-shaped RF antenna, provided outside the dielectric window; a substrate supporting unit, provided in the chamber, for mounting thereon a target substrate; a processing gas supply unit for supplying a processing gas to the chamber; and an RF power supply unit for supplying an RF power to the RF antenna to generate a plasma of the processing gas by an inductive coupling in the chamber. The apparatus further includes a correction coil, provided at a position outside the chamber where the correction coil is to be coupled with the RF antenna by an electromagnetic induction, for controlling a plasma density distribution in the chamber; and an antenna-coil distance control unit for controlling a distance between the RF antenna and the correction coil while supporting the correction coil substantially in parallel with the RF antenna.
    Type: Application
    Filed: October 27, 2010
    Publication date: April 28, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Chishio Koshimizu, Masashi Saito, Kazuki Denpoh, Jun Yamawaku, Hachishiro Iizuka
  • Publication number: 20110094996
    Abstract: A plasma processing apparatus includes a processing chamber including a dielectric window; a coil-shaped RF antenna, provided outside the dielectric window; a substrate supporting unit provided in the processing chamber; a processing gas supply unit; an RF power supply unit for supplying an RF power to the RF antenna to generate a plasma of the processing gas by an inductive coupling in the processing chamber, the RF power having an appropriate frequency for RF discharge of the processing gas; a correction coil, provided at a position outside the processing chamber where the correction coil is to be coupled with the RF antenna by an electromagnetic induction, for controlling a plasma density distribution on the substrate in the processing chamber; a switching device provided in a loop of the correction coil; and a switching control unit for on-off controlling the switching device at a desired duty ratio by pulse width modulation.
    Type: Application
    Filed: October 27, 2010
    Publication date: April 28, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yohei YAMAZAWA, Chishio KOSHIMIZU, Masashi SAITO, Kazuki DENPOH, Jun YAMAWAKU
  • Patent number: 7918938
    Abstract: A system and method for distributing one or more gases to an atomic layer deposition (ALD) reactor. An integrated inlet manifold block mounted over a showerhead assembly includes high temperature (up to 200° C.) rated valves mounted directly thereto, and short, easily purged reactant lines. Integral passageways and metal seals avoid o-rings and attendant dead zones along flow paths.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: April 5, 2011
    Assignee: ASM America, Inc.
    Inventors: Timothy J. Provencher, Craig B. Hickson
  • Publication number: 20110073564
    Abstract: Embodiments of the present invention relate to method and apparatus for providing processing gases to a process chamber with improved plasma dissociation efficiency. One embodiment of the present invention provides a baffle nozzle assembly comprising an outer body defining an extension volume connected to a processing chamber. A processing gas is flown to the processing chamber through the extension volume which is exposed to power source for plasma generation.
    Type: Application
    Filed: September 9, 2010
    Publication date: March 31, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Roy C. Nangoy, Saravjeet Singh, Jon C. Farr, Sharma V. Pamarthy, Ajay Kumar
  • Publication number: 20110059614
    Abstract: An apparatus to supply a plurality of process fluids for processing a substrate in a semiconductor processing chamber is disclosed. The apparatus includes a plurality of process fluid supply valves and a fluid supply network that is defined between a crossover valve and a tuning supply valve. The apparatus further includes a tuning fluid supply being connected to the fluid supply network through the tuning supply valve. Further included with the apparatus is a plurality of process fluids that are connected to the fluid supply network through the plurality of process fluid supply valves. A process chamber that has a substrate support is also included in the apparatus. The process chamber further including an edge fluid supply and a center fluid supply, the edge fluid supply connected to the fluid supply network through an edge enable valve and the center supply connected to the fluid supply network through a center enable valve.
    Type: Application
    Filed: September 4, 2009
    Publication date: March 10, 2011
    Inventors: Miguel A. Saldana, Greg Sexton
  • Patent number: 7883583
    Abstract: Apparatus for vaporizing a particulate material, comprising a metering apparatus including: a reservoir; a housing having an internal volume and first and second openings for respectively receiving and discharging the particulate material; a rotatable shaft disposed in the internal volume, the shaft having a smooth surface and a circumferential groove for receiving particulate material from the reservoir and for discharging the particulate material; the rotatable shaft and the internal volume cooperating such that the particulate material is transported by the circumferential groove and not along the remainder of the rotatable shaft; a scraper disposed in relation to the second opening, having at its end substantially the same cross section as the groove in the rotating shaft, the scraper cooperating with the groove to dislodge particulate material retained therein, and in response to the shaft rotating, delivers metered amounts of particulate material through the second opening; to the flash evaporator.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: February 8, 2011
    Assignee: Global OLED Technology LLC
    Inventors: Michael Long, Thomas W. Palone, Bruce E. Koppe, Michael L. Boroson
  • Publication number: 20110023908
    Abstract: Methods and apparatus for recovering hydrogen fluoride (HF) are provided herein. In some embodiments, an apparatus includes a system for processing substrates, including a process chamber for processing a substrate; a fluorine generator coupled to the process chamber to provide fluorine (F2) thereto; an abatement system coupled to the process chamber to abate fluorine-containing effluents exhausted from the process chamber and to convert at least a portion of the fluorine-containing effluents into hydrogen fluoride (HF); an HF recovery system configured to at least one of collect, purify, or concentrate the HF converted by the abatement system; and a conduit for providing the recovered hydrogen fluoride (HF) to the fluorine generator or another application in the manufacturing process.
    Type: Application
    Filed: July 16, 2010
    Publication date: February 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ANDREAS NEUBER, PHIL CHANDLER, CLIFFORD C. STOW, DANIEL O. CLARK, MICHAEL KIEFER
  • Publication number: 20100326599
    Abstract: Disclosed is an integrated vacuum producing apparatus, which vacuumizes a process chamber of an apparatus for manufacturing semiconductors, flat panel displays, etc. or exhausts gaseous material and by-products generated within the process chamber to an outside so as to purify it. Gaseous material, e.g. gas, generated within a chamber for manufacturing a semiconductor, a flat panel display, etc. is exhausted through each separate exhaust line so as to be purified. Therefore, excessive operation of a purifying system can be prevented through distribution of exhaust gas so that life span can be extended according to the operation of the apparatus. Also, exhausting can be smoothly achieved through each exhaust line so that it is possible to prevent delay of a semi-conductor manufacturing process due to inability of exhausting, and to easily remove non-reacted gas and by-products in an exhausting process.
    Type: Application
    Filed: May 16, 2008
    Publication date: December 30, 2010
    Inventors: Tae-Kyong Hwang, Heaung-Shig Oh, Myung-Keun Noh
  • Patent number: 7850864
    Abstract: There are proposed a plasma treating apparatus and a plasma treating method using the same capable of improving the durability of site, member and parts in a chamber used for plasma etching in a corrosive gas atmosphere, which are exposed to the plasma atmosphere, and improving the resistance to plasma erosion of a coating formed on the surface of the member or the like in the corrosive gas atmosphere and preventing the occurrence of particles of a corrosion product even under a high plasma power. As a means therefore, in a plasma treating apparatus wherein a surface of a body to be treated in a chamber is subjected to a plasma treatment with an etching gas, at least surfaces of sites of the chamber itself exposing to the plasma atmosphere, or surfaces of a member or parts accommodated in the chamber are covered with a composite layer including a porous layer made from a metal oxide and a secondary recrystallized layer of the metal oxide formed on the porous layer.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kobayashi
  • Publication number: 20100310902
    Abstract: In a method of manufacturing a magneto-resistance element having a multi-layer film including magnetic layers, TaOx generated on the surface of the Ta mask is prevented from peeling off when etching is performed on the multi-layer film using an etching gas containing oxygen atoms. When a Ta mask which is used at the time of dry etching performed on the multi-layer film including magnetic layers with an etching gas containing oxygen atoms is formed by sputtering, the Ar gas pressure is set to be 0.1 Pa to 0.4 Pa.
    Type: Application
    Filed: June 21, 2010
    Publication date: December 9, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tomoaki Osada, Naoko Matsui, Yoshimitsu Kodaira, Koji Tsunekawa
  • Publication number: 20100307684
    Abstract: A microwave plasma processing apparatus (100) of a slot antenna type includes a plane antenna plate (31) constituting a flat waveguide and a cover (34) of a conductive member. The cover (34) is provided with a stub (43) as a second waveguide for adjusting electric field-distribution in the flat waveguide. The stub (43) is provided in the cover (34) of the conductive member. In plan view, the stub (43) is arranged to overlap slots (32) constituting a slot pair arranged at the outermost circumference of the plane antenna plate (31). By appropriately arranging the stub, it is possible to control electric field-distribution in the flat waveguide thereby to generate a uniform plasma.
    Type: Application
    Filed: September 26, 2008
    Publication date: December 9, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Ryosaku Ota, Hikaru Adachi, Toshio Nakanishi, Atsushi Ueda, Songyun Kang, Paul Moroz, Peter Ventzek
  • Publication number: 20100300482
    Abstract: A resist removal apparatus 1 can remove a resist on a substrate at a low temperature of 90° C. or less. That is, the resist removal apparatus 1 has a chamber 2 which holds a substrate 16 coated with a resist 17 that is going to be removed, and can heat the substrate 16, also into which unsaturated hydrocarbon gas or fluorine substitution product gas of unsaturated hydrocarbon together with ozone gas are supplied at a lower pressure than an atmospheric pressure. An internal pressure of the chamber 2 is controlled so that a temperature of the substrate 16 is 90° C. or less. As the ozone gas, ultra-high concentration ozone gas that is obtained by separating only ozone from ozone-containing gas by a difference of vapor pressure through liquefaction separation and by vaporizing the above ozone again, is given. It is preferable to supply ultrapure water to a treated substrate 16 for cleaning. The chamber 2 is provided with a susceptor 15 that holds the substrate 16.
    Type: Application
    Filed: November 20, 2008
    Publication date: December 2, 2010
    Inventor: Toshinori Miura
  • Publication number: 20100301011
    Abstract: A sub-atmospheric downstream pressure control apparatus includes a first flow restricting element (FRE); a pressure control chamber (PCC) located in serial fluidic communication downstream from the first FRE; a second FRE located in serial fluidic communication downstream from the PCC; a gas source; and a flow controlling device in serial fluidic communication downstream from the gas source and upstream from the PCC.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 2, 2010
    Applicant: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Patent number: 7833382
    Abstract: A vacuum processing apparatus comprising a transfer unit disposed at a center thereof, plural processing chambers, each processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas; and amass flow controller unit interposed between two processing chambers for supplying gas to the chambers.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: November 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7828900
    Abstract: A vacuum film-forming apparatus comprising substrate stages; vacuum chamber-forming containers opposed to the stages; a means for moving the substrate between the stages; and gas-introduction means connected to every containers, wherein one of the stage and the container is ascended or descended towards the other to bring the upper face of the stage and the opening of the container into contact with one another so that vacuum chambers can be formed and that a raw gas and/or a reactant gas can be introduced into each space of the chamber through each gas-introduction means to carry out either the adsorption or reaction step for allowing the raw gas to react with the reactant gas. The apparatus permits the independent establishment of process conditions for the adsorption and reaction processes and the better acceleration of the reaction between raw and reactant gases to give a film having excellent quality and the apparatus can be manufactured at a low cost.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: November 9, 2010
    Assignee: ULVAC, Inc.
    Inventors: Masanobu Hatanaka, Michio Ishikawa, Se-Ju Lim, Fumio Nakamura
  • Publication number: 20100264117
    Abstract: A plasma processing system includes a plasma processing device for forming or etching the plurality of films and a gas source for supplying all gases required for forming or etching the plurality of films. Furthermore, gases required for forming or etching each of the plurality of films are selectively supplied from the gas source to the plasma processing device via gas pipes by a control device. Therefore, a plurality of films of different compositions may be formed or etched within a single plasma processing device.
    Type: Application
    Filed: October 28, 2008
    Publication date: October 21, 2010
    Applicants: TOHOKU UNIVERSITY, TOKYO ELECTRON LIMITED
    Inventors: Tadahiro Ohmi, Takaaki Matsuoka
  • Publication number: 20100227478
    Abstract: Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device which can prevent a sealing member from being deteriorated due to a thermal radiation from a heater. The substrate processing apparatus includes a processing container, a substrate stage installed in the processing container, on which a substrate is placed, a heater installed in the substrate stage and configured to heat the substrate, a thermal radiation attenuator adjacent to the processing container, and a gas supply pipe connected to a gas inlet part with a sealing member interposed therebetween and configured to supply a processing gas to an inside of the processing container, wherein the thermal radiation attenuator is installed on a line connecting the heater and the sealing member.
    Type: Application
    Filed: March 3, 2010
    Publication date: September 9, 2010
    Applicant: HITACHI-KOKUSAI ELECTRIC INC.
    Inventor: Koichiro HARADA
  • Patent number: 7789961
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material. A system capable of carrying out such a process is also disclosed.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: September 7, 2010
    Assignee: Eastman Kodak Company
    Inventors: Shelby F. Nelson, David H. Levy, Roger S. Kerr
  • Publication number: 20100219157
    Abstract: A film forming apparatus 100 is provided with a processing chamber 2 for accommodating a wafer W; a gas supply section 10 for supplying inside the processing chamber 2 with a gas containing a Cu material gas and an Mn material gas; a shower head 4 for introducing the gas fed from the gas supply section 10 into the processing chamber 2; and a vacuum pump 8 for exhausting inside the processing chamber 2. The gas supply section 10 is provided with a Cu material storing section 21; an Mn material storing section 22; a manifold 40 to which the Cu material and the Mn material are introduced to be mixed; one vaporizer 42 for vaporizing the mixture formed at the manifold 40; and material gas supply piping 54 for introducing into the shower head 4 the material gas formed by vaporization.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 2, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kenji Matsumoto
  • Publication number: 20100213162
    Abstract: There is provided a plasma etching method capable of achieving a sufficient organic film modifying effect by high-velocity electrons. In forming a hole in an etching target film by plasma etching, a first condition of generating plasma within a processing chamber by way of turning on a plasma-generating high frequency power application unit and a second condition of not generating the plasma within the processing chamber by way of turning off the plasma-generating high frequency power application unit are repeated alternately. Further, a negative DC voltage is applied from a first DC power supply such that an absolute value of the applied negative DC voltage during a period of the second condition is greater than an absolute value of the applied negative DC voltage during a period of the first condition.
    Type: Application
    Filed: February 18, 2010
    Publication date: August 26, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiromasa Mochiki, Yoshinobu Ooya, Fumio Yamazaki, Toshio Haga
  • Publication number: 20100204810
    Abstract: A plasma processing apparatus includes a processing chamber that converts a processing gas introduced from a gas supply source into plasma and performs plasma processing on a target object, an exhaust chamber that communicates with the inside of the processing chamber to exhaust a gas converted into plasma from the processing chamber, and a blocking cover that is provided in the exhaust chamber to block communication between the inside of the processing chamber and the inside of the exhaust chamber.
    Type: Application
    Filed: February 12, 2010
    Publication date: August 12, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masashi SAITO
  • Publication number: 20100203739
    Abstract: A method for selective etching of an SiGe mixed semiconductor layer on a silicon semiconductor substrate by dry chemical etching of the SiGe mixed semiconductor layer with the aid of an etching gas selected from the group including ClF3 and/or ClF5, a gas selected from the group including Cl2 and/or HCl being added to the etching gas.
    Type: Application
    Filed: July 2, 2008
    Publication date: August 12, 2010
    Inventors: Volker Becker, Franz Laermer, Tino Fuchs, Christina Leinenbach