With Etchant Gas Supply Or Exhaust Structure Located Outside Of Etching Chamber (e.g., Supply Tank, Pipe Network, Exhaust Pump, Particle Filter) Patents (Class 156/345.29)
  • Patent number: 8679287
    Abstract: A secondary reaction chamber with a mesh reactor element and a heater assembly are positioned in a foreline between a CVD reaction chamber and a vacuum pump to mix and react all previously unreacted precursor reactants to remove them from the effluent before they can reach and damage the vacuum pump.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: March 25, 2014
    Assignee: MKS Instruments, Inc.
    Inventors: Youfan Gu, David Neumeister
  • Patent number: 8679253
    Abstract: An enhanced utilization efficiency of gases can be presented and an improved deposition characteristics are presented, when a film is deposited with a plurality of gases. A deposition apparatus 100 includes: a reaction chamber 102 for depositing a film; a first gas supply line 112 and a second gas supply line 152 for supplying a first source material A and a gas B to a reaction chamber 102, respectively; and an exciting unit 106 that is capable of exciting a gas supplied in the reaction chamber 102 to form a plasma. In the deposition apparatus 100 having such configuration, a deposition operation is performed by: a first operation for supplying a gas derived from a first source material A and a gas B in the reaction chamber 102 to cause the gas derived from a first source material A adsorbed on the substrate, thereby forming a deposition layer; and a second operation for supplying a second gas in reaction chamber 102, and treating the deposition layer with the gas in a condition of being plasma-excited.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: March 25, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Akira Furuya
  • Patent number: 8671965
    Abstract: An apparatus to supply a plurality of process fluids for processing a substrate in a semiconductor processing chamber. The apparatus includes a plurality of process fluid supply valves and a fluid supply network that is defined between a crossover valve and a tuning supply valve. The apparatus further includes a tuning fluid supply being connected to the fluid supply network through the tuning supply valve. Further included with the apparatus is a plurality of process fluids that are connected to the fluid supply network through the plurality of process fluid supply valves. A process chamber that has a substrate support is also included in the apparatus. The process chamber further including an edge fluid supply and a center fluid supply, the edge fluid supply connected to the fluid supply network through an edge enable valve and the center supply connected to the fluid supply network through a center enable valve.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: March 18, 2014
    Assignee: Lam Research Corporation
    Inventors: Miguel A. Saldana, Greg Sexton
  • Publication number: 20140060572
    Abstract: In a plasma processing apparatus, a mounting table is provided in a processing chamber, and a remote plasma generating unit is configured to generate an excited gas by exiting a hydrogen-containing gas. The remote plasma generating unit has an outlet for discharging the excited gas. A diffusion unit is provided to correspond to the outlet of the remote plasma generating unit and serves to receive the excited gas flowing from the outlet and diffuse the hydrogen active species having a reduced amount of hydrogen ions. An ion filter is disposed between the diffusion unit and the mounting table while being separated from the diffusion unit. The ion filter serves to capture the hydrogen ions contained in the hydrogen active species diffused by the diffusion unit and allow the hydrogen active species having a further reduced amount of hydrogen ions to pass therethrough the mounting table.
    Type: Application
    Filed: August 26, 2013
    Publication date: March 6, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chiaki YASUMURO, Takashi Sakuma, Osamu Yokoyama, Hiroyuki Toshima, Masamichi Hara, Cheonsoo Han, Morihiro Takanashi, Toshiaki Fujisato
  • Patent number: 8657958
    Abstract: The present invention relates to a monosilane (SiH4) and hydrogen recycle process/system for chemical vapor deposition (CVD) of monosilane-based CVD polysilicon. In particular, the present invention relates to the substantially complete silane utilization and unconverted (from the reactor) contamination-free complete silane and hydrogen recycle process of producing polysilicon chunk materials via the decomposition of gaseous silane precursors.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: February 25, 2014
    Assignee: Savi Research, Inc.
    Inventors: Vithal Revankar, Sanjeev Lahoti
  • Patent number: 8652258
    Abstract: It is intended to provide a substrate treatment device capable of adjusting both of a growth speed and an etching speed in a selective epitaxial growth, avoiding particle generation from nozzles, and achieving good etching characteristics. A substrate treatment device for selectively growing an epitaxial film on a surface of a substrate by alternately supplying a raw material gas containing silicon and an etching gas to a treatment chamber, the substrate treatment device being provided with a substrate support member for supporting the substrate in the treatment chamber, a heating member provided outside the treatment chamber for heating the substrate and an atmosphere of the treatment chamber, a gas supply system provided inside the treatment chamber, and a discharge port opened on the treatment chamber, wherein the gas supply system comprises first gas supply nozzles for supplying the raw material gas and second gas supply nozzles for supplying the etching gas.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: February 18, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takashi Yokogawa, Yasuhiro Inokuchi, Katsuhiko Yamamoto, Yoshiaki Hashiba, Yasuhiro Ogawa
  • Publication number: 20140038393
    Abstract: A method of processing a substrate includes performing a first exposure that comprises generating a plasma containing reactive gas ions in a plasma chamber and generating a bias voltage between the substrate and the plasma chamber. The method also includes providing a plasma sheath modifier having an aperture disposed between the plasma and substrate and operable to direct the reactive gas ions toward the substrate, and establishing a pressure differential between the plasma chamber and substrate region while the reactive gas ions are directed onto the substrate.
    Type: Application
    Filed: July 31, 2012
    Publication date: February 6, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Ludovic Godet, Xianfeng Lu, Deepak A. Ramappa
  • Publication number: 20140027059
    Abstract: The present invention provides an upper electrode and an etching apparatus including the electrode, both of which can properly reduce the intensity of the electric field of plasma around a central portion of a substrate, thus enhancing in-plane uniformity. In this apparatus, a recess, serving as a space for allowing a dielectric to be injected therein, is provided around a central portion of the upper electrode. Both a dielectric supply passage and a dielectric discharge passage are connected with the space. With such configuration, controlled supply of the dielectric into the recess makes the in-plane electric field intensity distribution uniform over various process conditions, such as the kind of wafer to be etched, the processing gas to be used, and the like.
    Type: Application
    Filed: September 13, 2013
    Publication date: January 30, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Shinji HIMORI
  • Publication number: 20140020833
    Abstract: A substrate processing apparatus for processing a substrate with plasma including: a container including a first container member that forms a processing space in which the substrate is processed, and a second container member that forms a plasma generation space in which plasma is generated a gas introduction unit for introducing gas into the container; a plasma generation unit including an antenna that is provided in an external space of the container and configured to excite the gas in the plasma generation space with an electric field that is generated by a high-frequency voltage fed from a power supply; and a substrate holding unit that is capable of holding the substrate. A coating film that contains a semiconductor material is formed on a surface of the second container member that is arranged close to the antenna.
    Type: Application
    Filed: September 24, 2013
    Publication date: January 23, 2014
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tatsuhiko Yoshida, Masami Hasegawa, Tomoaki Osada
  • Patent number: 8623141
    Abstract: A vacuum system for semiconductor fabrication. The system includes a vacuum chamber for performing a semiconductor fabrication process, a vacuum source, and a piping system fluidly connecting the vacuum chamber to the vacuum source. In one embodiment, the piping system is configured without a horizontal flow path section of piping. In some embodiments, the piping system includes a first piping branch and a second piping branch. The first and second piping branches preferably have a symmetrical configuration with respect to the vacuum source. In yet other embodiments, the first and second piping branches preferably each include a throttle valve.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: January 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Pin Chang, Chyi Shyuan Chern
  • Publication number: 20130340938
    Abstract: A plasma processing chamber includes a cantilever assembly and at least one vacuum isolation member configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall.
    Type: Application
    Filed: August 28, 2013
    Publication date: December 26, 2013
    Applicant: Lam Research Corporation
    Inventors: James E. Tappan, Scott Jeffery Stevenot
  • Publication number: 20130337655
    Abstract: Embodiments of the present invention provide a dual load lock chamber capable of processing a substrate. In one embodiment, the dual load lock chamber includes a chamber body defining a first chamber volume and a second chamber volume isolated from one another. Each of the lower and second chamber volumes is selectively connectable to two processing environments through two openings configured for substrate transferring. The dual load lock chamber also includes a heated substrate support assembly disposed in the second chamber volume. The heated substrate support assembly is configured to support and heat a substrate thereon. The dual load lock chamber also includes a remote plasma source connected to the second chamber volume for supplying a plasma to the second chamber volume.
    Type: Application
    Filed: February 29, 2012
    Publication date: December 19, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jared Ahmad Lee, Martin Jeffrey Salinas, Paul B. Reuter, Imad Yousif, Aniruddha Pal
  • Patent number: 8608851
    Abstract: A plasma confinement apparatus, and method for confining a plasma are described and which includes, in one form of the invention, a plurality of electrically insulated components which are disposed in predetermined spaced relation, one relative to the others, and surrounding a processing region of a plasma processing apparatus, and wherein a plurality of passageways are defined between the respective insulated components; and at least one electrically conductive and grounded component forms an electrical field shielding for the processing region.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: December 17, 2013
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventors: Tom Ni, Jinyuan Chen, Qing Qian, Yuehong Fu, Zhaoyang Xu, Xusheng Zhou, Ye Wang
  • Publication number: 20130319615
    Abstract: Provided are an apparatus and a method for treating substrates. The apparatus includes a process chamber, a support plate to support a substrate inside the process chamber, a gas supply unit to supply a gas into the process chamber, a first plasma generation unit provided to generate plasma inside the process chamber, and a second plasma generation unit provided to generate plasma outside the process chamber. An etching process, an ashing process, an edge cleaning process, and a back-surface cleaning process are sequentially performed on the substrate inside the process chamber.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 5, 2013
    Inventors: Jeonghee CHO, Hee Sun CHAE
  • Patent number: 8591699
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: November 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ramprakash Sankarakrishnan, Dale R. Du Bois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Patent number: 8590484
    Abstract: Provided is a semiconductor device manufacturing method and a substrate processing apparatus. The method comprise: a first process of forming a film containing a predetermined element on a substrate by supplying a source gas containing the predetermined element to a substrate processing chamber in which the substrate is accommodated; a second process of removing the source gas remaining in the substrate processing chamber by supplying an inert gas to the substrate processing chamber; a third process of modifying the predetermined element-containing film formed in the first process by supplying a modification gas that reacts with the predetermined element to the substrate processing chamber; a fourth process of removing the modification gas remaining in the substrate processing chamber by supplying an inert gas to the substrate processing chamber; and a filling process of filling an inert gas in a gas tank connected to the substrate processing chamber.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: November 26, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Taketoshi Sato, Masayuki Tsuneda
  • Patent number: 8580076
    Abstract: A plasma apparatus, various components of the plasma apparatus, and an oxygen free and nitrogen free processes for effectively removing photoresist material and post etch residues from a substrate with a carbon and/or hydrogen containing low k dielectric layer(s).
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: November 12, 2013
    Assignee: LAM Research Corporation
    Inventors: Alan Frederick Becknell, Thomas James Buckley, David Ferris, Richard E. Pingree, Jr., Palanikumaran Sakthivel, Aseem Kumar Srivastava, Carlo Waldfried
  • Patent number: 8573154
    Abstract: The present invention relates to a plasma film forming apparatus. In the plasma film forming apparatus, a flow control jig is disposed between a plasma nozzle and a film formation region of a substrate. The flow control jig has a plasma supply path, a raw material supply path, a film formation joined path formed by combining the plasma supply path and the raw material supply path, an exhaust path for discharging a plasma discharge gas and an unreacted raw material transported from the film formation region, and a recovery path for returning the unreacted raw material in the exhaust path to the plasma supply path.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Honda Motor Co., Ltd.
    Inventor: Shunichi Yorozuya
  • Publication number: 20130284372
    Abstract: Embodiments include a base for an electrostatic chuck (ESC) assembly for supporting a workpiece during a manufacturing operation in a processing chamber, such as a plasma etch, clean, deposition system, or the like. Inner and outer fluid conduits are disposed in the base to conduct a heat transfer fluid. In embodiments, a counter-flow conduit configuration provides improved temperature uniformity. The conduit segments in each zone are interlaced so that fluid flows are in opposite directions in radially adjacent segments. In embodiments, each separate fluid conduit formed in the base comprises a channel formed in the base with a cap e-beam welded to a recessed lip of the channel to make a sealed conduit. To further improve the thermal uniformity, a compact, tri-fold channel segment is employed in each of the outer fluid loops. In further embodiments, the base includes a multi-contact fitting RF and DC connection, and thermal breaks.
    Type: Application
    Filed: April 10, 2013
    Publication date: October 31, 2013
    Inventors: Hamid TAVASSOLI, Kallol BERA, Douglas BUCHBERGER, James C. CARDUCCI, Shahid RAUF, Ken COLLINS
  • Publication number: 20130276980
    Abstract: An electrostatic chuck (ESC) with a cooling base for plasma processing chambers, such as a plasma etch chamber. An ESC assembly includes a 2-stage design where a heat transfer fluid inlet (supply) and heat transfer fluid outlet (return) is in a same physical plane. The 2-stage design includes an assembly of a base upon which a ceramic (e.g., AlN) is disposed. The base is disposed over a diffuser which may have hundreds of small holes over the chuck area to provide a uniform distribution of heat transfer fluid. Affixed to the diffuser is a reservoir plate which is to provide a reservoir between the diffuser and the reservoir plate that supplies fluid to the diffuser. Heat transfer fluid returned through the diffuser is passed through the reservoir plate.
    Type: Application
    Filed: April 10, 2013
    Publication date: October 24, 2013
    Inventors: Dmitry LUBOMIRSKY, Kyle TANTIWONG
  • Publication number: 20130276981
    Abstract: Embodiments of the invention include an apparatus, system, and method for cooling a pedestal for supporting a workpiece during plasma processing. An embodiment of a pedestal includes: a base over which the workpiece is to be disposed, a plurality of nozzles to supply a fluid from a supply plenum to impinge on a surface of the base, and a plurality of return conduits to return the supplied fluid to a return plenum. The fluid to be supplied by the plurality of nozzles can be projected as one or more jets submerged in surrounding fluid or as a spray that emerges from a surrounding fluid within a volume between the plurality of nozzles and the base to impinge on the surface of the base.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 24, 2013
    Inventors: Fernando Silveira, Richard Fovell, Hamid Tavassoli
  • Publication number: 20130248113
    Abstract: Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes.
    Type: Application
    Filed: May 13, 2013
    Publication date: September 26, 2013
    Applicant: Lam Research Corporation
    Inventors: Phillip Geissbûhler, Ivan Berry, Armin Huseinovic, Shijian Luo, Aseem Kumar Srivastava, Carlo Waldfried
  • Publication number: 20130237061
    Abstract: A method for manufacturing a semiconductor device by etching a SiN film on a surface of a substrate by using a gas containing a halogen element includes supplying a gas containing a basic gas at the initial stage of a process for supplying the gas containing the halogen element to the surface of the SiN film. By supplying the gas containing the basic gas at the initial stage of the etching, a SiNO film covering the surface of the SiN film is changed to a film of reaction products mainly including water (H2O) and ammonium hexafluorosilicate ((NH4)2SiF6).
    Type: Application
    Filed: November 10, 2011
    Publication date: September 12, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiroyuki Takahashi
  • Publication number: 20130228284
    Abstract: A chamber component configured to be coupled to a processing chamber is described. The chamber component comprises one or more adjustable gas passages through which a process gas is introduced to the process chamber. The adjustable gas passage may be configured to form a hollow cathode that creates a hollow cathode plasma in a hollow cathode region having one or more plasma surfaces in contact with the hollow cathode plasma. Therein, at least one of the one or more plasma surfaces is movable in order to vary the size of the hollow cathode region and adjust the properties of the hollow cathode plasma. Furthermore, one or more adjustable hollow cathodes may be utilized to adjust a plasma process for treating a substrate.
    Type: Application
    Filed: April 1, 2013
    Publication date: September 5, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki DENPOH, Peter LG Ventzek, Lin Xu, Lee Chen
  • Patent number: 8518181
    Abstract: A thin film formation apparatus that introduces, in a first operational phase thereof, a source gas into a processing vessel capable of being evacuated and accommodating a substrate to be processed, and forms a thin film on the substrate by causing a reaction in the introduced source gas.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: August 27, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Masamichi Hara
  • Patent number: 8519724
    Abstract: A plate of substantially uniform thickness is formed from an electrically conductive material. The plate has a top surface defined to support a part to be measured. The plate has a bottom surface defined to be connected to a radiofrequency (RF) transmission rod such that RF power can be transmitted through the RF transmission rod to the plate. The plate is defined to have a number of holes cut vertically through the plate at a corresponding number of locations that underlie embedded conductive material items in the part to be measured when the part is positioned on the top surface of the plate.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: August 27, 2013
    Assignee: Lam Research Corporation
    Inventors: Jaehyun Kim, Arthur H. Sato, Keith Comendant, Qing Liu, Feiyang Wu
  • Patent number: 8506713
    Abstract: The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is loc
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: August 13, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Patent number: 8506710
    Abstract: An apparatus for fabricating a semiconductor device includes: a chamber having a sidewall; a susceptor in the chamber; a plurality of injection holes in the sidewall, the plurality of injection holes disposed along a horizontal direction; and a plurality of exhaust holes in the sidewall, the plurality of exhaust holes disposed along the horizontal direction and facing the plurality of injection holes with the susceptor therebetween.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: August 13, 2013
    Assignee: LG Display Co., Ltd.
    Inventor: Jung-Woo Hwang
  • Patent number: 8506714
    Abstract: Disclosed is a substrate processing system, including: a processing chamber to process a substrate; a vaporizing unit to vaporize a material of liquid; a supply system to supply the processing chamber with gas of the material vaporized by the vaporizing unit; an exhaust system to exhaust an atmosphere in the processing chamber; and a cleaning liquid supply system to supply the vaporizing unit with cleaning liquid for cleaning a product deposited in the vaporizing unit, wherein the cleaning liquid supply system supplies at least two kinds of cleaning liquids into the vaporizing unit so that the product can be removed from the vaporizing unit by action of the two kinds of cleaning liquids on the product.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: August 13, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomoki Horita, Kazuhiro Hirahara, Hironobu Miya, Atsuhiko Suda, Hirohisa Yamazaki
  • Publication number: 20130180954
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for processing a substrate may include a plasma process chamber having a processing volume and a substrate support disposed in the processing volume, the substrate support having a substrate support surface for supporting a substrate; a plurality of first gas inlets to provide a process gas to the processing volume, wherein the plasma process chamber is configured such that flowing the process gas at the same flow rate from each first gas inlet produces a non-uniform plasma at the substrate support surface; and a plurality of flow controllers, wherein each flow controller of the plurality is coupled to a corresponding one of the plurality of first gas inlets to control the flow of the process gas from the corresponding one first gas inlet.
    Type: Application
    Filed: January 4, 2013
    Publication date: July 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: APPLIED MATERIALS, INC.
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8480847
    Abstract: A processing system adapted for processing an object to be processed, including a processing vessel configured for containing the object to be processed and for receiving a processing fluid, a processing fluid generating unit configured for generating a processing fluid, a processing-side fluid passage configured for supplying the processing fluid into the processing vessel, and a discharge fluid passage configured for discharging the processing fluid from the processing vessel.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: July 9, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshifumi Amano
  • Publication number: 20130168352
    Abstract: A plasma processing system having at least one processing chamber comprising at least two sub-chambers is provided. The two plasma sub-chambers are in plasma flow or gas flow communication through a passage, which is controlled by a gate. The gate may be operated to allow plasma migration between the two sub-chambers to occur at different conductance rates. In one example, the gate comprises two plates with openings through the plates. At least one of the plates may be rotatable relative to the other plates to govern the conductance rate of the plasma from one sub-chamber to the other sub-chamber.
    Type: Application
    Filed: December 28, 2011
    Publication date: July 4, 2013
    Inventor: Andreas Fischer
  • Patent number: 8475622
    Abstract: A method of reusing a consumable part for use in a plasma processing apparatus includes cleaning a surface of the consumable part made of SiC that has been eroded by a first plasma process performed for a specific period of time. The method further includes depositing SiC on the cleaned surface of the eroded consumable part by CVD. The method also includes remanufacturing a consumable part having a predetermined shape by machining the eroded consumable part on which the SiC is deposited for performing a second plasma process on a substrate by using the remanufactured consumable part.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: July 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Nobuyuki Nagayama, Naoyuki Satoh, Keiichi Nagakubo, Kazuya Nagaseki
  • Publication number: 20130160794
    Abstract: Methods and apparatus for cleaning substrate surfaces are provided herein. In some embodiments, a method of cleaning a surface of a substrate may include providing a hydrogen containing gas to a first chamber having a plurality of filaments disposed therein; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a process temperature sufficient to decompose at least some of the hydrogen containing gas; and cleaning the surface of the substrate by exposing the substrate to hydrogen atoms formed from the decomposed hydrogen containing gas for a period of time.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 27, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Applied Materials, Inc.
  • Publication number: 20130153536
    Abstract: Methods and apparatuses for combinatorial processing using a remote plasma source are disclosed. The apparatus includes a remote plasma source and an inner chamber enclosing a substrate support. An aperture is operable to provide plasma exposure to a site-isolated region on a substrate. A transport system moves the substrate support and is capable of positioning the substrate such that the site-isolated region can be located anywhere on the substrate. Barriers and a gas purge system operate to provide site-isolation. Plasma exposure parameters can be varied in a combinatorial manner. Such parameters include source gases for the plasma generator, plasma filtering parameters, exposure time, gas flow rate, frequency, plasma generator power, plasma generation method, chamber pressure, substrate temperature, distance between plasma source and substrate, substrate bias voltage, or combinations thereof.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Intermolecular, Inc.
    Inventors: ShouQian Shao, Kent Riley Child, Danny Wang
  • Publication number: 20130157469
    Abstract: A top plate assembly is positioned above and spaced apart from the substrate support, such that a processing region exists between the top plate assembly and the substrate support. The top plate assembly includes a central plasma generation microchamber and a plurality of annular-shaped plasma generation microchambers positioned in a concentric manner about the central plasma generation microchamber. Adjacently positioned ones of the central and annular-shaped plasma generation microchambers are spaced apart from each other so as to form a number of axial exhaust vents therebetween. Each of the central and annular-shaped plasma generation microchambers is defined to generate a corresponding plasma therein and supply reactive constituents of its plasma to the processing region between the top plate assembly and the substrate support.
    Type: Application
    Filed: March 27, 2012
    Publication date: June 20, 2013
    Applicant: Lam Research Corporation
    Inventors: Akira Koshiishi, Peter L. G. Ventzek, Jun Shinagawa, John Patrick Holland
  • Patent number: 8465593
    Abstract: A substrate processing apparatus that can prevent formation of deposit in openings of a plurality of gas supply holes leading into a processing chamber. Each of the gas supply holes is configured to uniformly supply a processing gas, whose molecules are turned into clusters, into the processing chamber and to prevent liquefaction of processing gas when the processing gas is supplied into the processing chamber.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Daisuke Hayashi, Morihiro Takanashi
  • Publication number: 20130149866
    Abstract: A baffle plate for redirecting a reactive gas flow within a process chamber of a semiconductor plasma processing apparatus includes a topside surface having a plurality of topside apertures for receiving the reactive gas flow and a bottomside surface having a plurality of bottomside apertures for emitting the reactive gas flow toward a semiconductor substrate. An outer portion of the baffle plate includes both topside apertures and bottomside apertures, while within an inner portion of the baffle plate for at least one of the topside surface and bottomside surface is a solid region throughout exclusive of any apertures. The inner portion has an outer dimension that is at least ten (10) percent of an outer dimension of the outer portion.
    Type: Application
    Filed: December 12, 2011
    Publication date: June 13, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: JOHN CHRISTOPHER SHRINER
  • Patent number: 8459290
    Abstract: A material gas concentration control system for keeping a concentration of a material gas in a mixed gas constant comprising a tank to accommodate the material, an inlet line to input a carrier gas for evaporating the accommodated material into the tank, and an outlet line to output the mixed gas consisting of the material gas evaporated in the tank and the carrier gas, and further comprising a first valve arranged in the inlet line, a concentration measuring part that measures the concentration of the material gas in the mixed gas, and a concentration control part that controls an open degree of the first valve so as to make the measured concentration of the material gas measured by the concentration measuring part become the previously determined set concentration.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: June 11, 2013
    Assignees: Horiba, Ltd., Horiba Stec, Co., Ltd.
    Inventors: Masakazu Minami, Daisuke Hayashi, Yuhei Sakaguchi, Katsumi Nishimura, Masaki Inoue, Kotaro Takijiri
  • Patent number: 8460466
    Abstract: A chemical vapor deposition reactor and a method of wafer processing are provided. The reactor includes a reaction chamber having an interior, a gas inlet manifold communicating with the interior of the chamber, an exhaust system including an exhaust manifold having a passage and one or more ports, and one or more cleaning elements mounted within the chamber. The gas inlet manifold can admit process gasses to form a deposit on substrates held within the interior. The passage can communicate with the interior of the chamber through the one or more ports. The one or more cleaning elements are movable between (i) a run position in which the cleaning elements are remote from the one or more ports and (ii) a cleaning position in which the one or more cleaning elements are engaged in the one or more ports.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: June 11, 2013
    Assignee: Veeco Instruments Inc.
    Inventor: Alex Gurary
  • Patent number: 8459291
    Abstract: To prevent loss of control of a pressure of a source gas within a movable range of a control valve, a source gas concentration control system is provided. The system may include a first valve that is provided on an outlet line, a concentration measurement part that measures a concentration of the source gas in mixed gas, and a concentration control part that controls a stroke of the first valve such that the measured concentration of the source gas becomes equal to a predetermined concentration setting. The measured concentration may be measured in the concentration measurement part. The system may further include a temperature controller that controls a temperature inside the tank to meet a temperature setting, and a temperature setting part that sets the temperature setting of the temperature controller.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: June 11, 2013
    Assignees: HORIBA, Ltd., HORIBA STEC, Co., Ltd.
    Inventors: Masakazu Minami, Masaki Inoue
  • Publication number: 20130137273
    Abstract: The semiconductor processing system includes a reactor chamber that has an upper wall and a lower wall. A hold member is disposed in the reactor chamber to hold a semiconductor substrate in such a way that it faces the lower wall of the reactor chamber.
    Type: Application
    Filed: November 28, 2011
    Publication date: May 30, 2013
    Applicant: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 8444766
    Abstract: A system for recycling includes a processing chamber, a reclamation reservoir and a mixing reservoir. The processing chamber is configured to receive a deposition gas deposited onto a semiconductor layer. The processing chamber has an exhaust to discharge an unused portion of the deposition gas as an effluent gas. The reclamation reservoir is in fluid communication with the processing chamber. The reclamation reservoir is configured to receive and store the effluent gas from the processing chamber. The mixing reservoir is in fluid communication with the reclamation reservoir and the processing chamber. The mixing reservoir is configured to mix the effluent gas with a virgin gas to form a recycled deposition gas. The mixing reservoir supplies the recycled deposition gas to the processing chamber to deposit an additional portion of the semiconductor layer.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: May 21, 2013
    Assignee: ThinSilicon Corporation
    Inventors: Jason Michael Stephens, Bradley Owen Stimson, Guleid Nur Abdi Hussen
  • Patent number: 8440048
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: May 14, 2013
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk, Eric Hill, Loring G. Davis, John T. DiSanto
  • Publication number: 20130105083
    Abstract: In one embodiment, a plasma etching system may include a process gas source, a plasma processing chamber, and a gas supply conduit. A plasma can be formed from a process gas recipe in the plasma processing chamber. The gas supply conduit may include a corrosion resistant layered structure forming an inner recipe contacting surface and an outer environment contacting surface. The corrosion resistant layered structure may include a protective silicon layer, a passivated coupling layer and a stainless steel layer. The inner recipe contacting surface can be formed by the protective silicon layer. The passivated coupling layer can be disposed between the protective silicon layer and the stainless steel layer. The passivated coupling layer can include chrome oxide and iron oxide. The chrome oxide can be more abundant in the passivated coupling layer than the iron oxide.
    Type: Application
    Filed: November 1, 2011
    Publication date: May 2, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Hong Shih, John Michael Kerns, Yan Fang, Allan Ronne
  • Publication number: 20130082599
    Abstract: A RF source and method are disclosed which inductively create a plasma within an enclosure without an electric field or with a significantly decreased creation of an electric field. A ferrite material with an insulated wire wrapped around its body is used to efficiently channel the magnetic field through the legs of the ferrite. This magnetic field, which flows between the legs of the ferrite can then be used to create and maintain a plasma. In one embodiment, these legs rest on a dielectric window, such that the magnetic field passes into the chamber. In another embodiment, the legs of the ferrite extend into the processing chamber, thereby further extending the magnetic field into the chamber. This ferrite can be used in conjunction with a PLAD chamber, or an ion source for a traditional beam line ion implantation system.
    Type: Application
    Filed: October 3, 2011
    Publication date: April 4, 2013
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Kamal Hadidi, Rajesh Dorai
  • Patent number: 8402845
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: March 26, 2013
    Assignee: Intermolecular, Inc.
    Inventor: Jay B. Dedontney
  • Patent number: 8397668
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: March 19, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Patent number: 8398770
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material, wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head. A system capable of carrying out such a process is also disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: March 19, 2013
    Assignee: Eastman Kodak Company
    Inventors: David H. Levy, Roger S. Kerr, Jeffrey T. Carey