With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Publication number: 20140197136
    Abstract: A plasma reactor and method for improved gas injection for an inductive plasma source for dry strip plasma processing are disclosed. According to embodiments of the present disclosure, gas is fed into a plasma chamber through a gas injection channel located adjacent to the side wall of the plasma chamber, rather than from the center, so that the process gas enters the plasma chamber in a close proximity to the induction coil. In particular embodiments, the process gas that enters the chamber is forced to pass through a reactive volume or active region adjacent the induction coil where efficient heating of electrons occurs, providing increased efficiency of the reactor by improving process gas flow and confinement in the heating area.
    Type: Application
    Filed: July 30, 2012
    Publication date: July 17, 2014
    Inventors: Vladimir Nagorny, Charles Crapuchettes
  • Patent number: 8778079
    Abstract: A CVD reactor, such as a MOCVD reactor conducting metalorganic chemical vapor deposition of epitaxial layers, is provided. The CVD or MOCVD reactor generally comprises a flow flange assembly, adjustable proportional flow injector assembly, a chamber assembly, and a multi-segment center rotation shaft. The reactor provides a novel geometry to specific components that function to reduce the gas usage while also improving the performance of the deposition.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: July 15, 2014
    Assignee: Valence Process Equipment, Inc.
    Inventors: Michael J. Begarney, Frank J. Campanale
  • Publication number: 20140193967
    Abstract: In a method of forming an epitaxial layer, an etching gas may be decomposed to form decomposed etching gases. A source gas may be decomposed to form decomposed source gases. The decomposed source gases may be applied to a substrate to form the epitaxial layer on the substrate. A portion of the epitaxial layer on a specific region of the substrate may be etched using the decomposed etching gases. Before the etching gas is introduced into the reaction chamber, the etching gas may be previously decomposed. The decomposed etching gases may then be introduced into the reaction chamber to etch the epitaxial layer on the substrate. As a result, the epitaxial layer on the substrate may have a uniform distribution.
    Type: Application
    Filed: January 10, 2014
    Publication date: July 10, 2014
    Applicants: Kookje Electric Korea Co., Ltd., Samsung Electronics Co., Ltd.
    Inventors: Sung-Ho KANG, Bong-Jin KUH, Yong-Kyu JOO, Sung-Ho HEO, Hee-Seok KIM, Yong-Sung PARK
  • Patent number: 8771417
    Abstract: A substrate processing apparatus includes a chamber having an inner space where a process is carried out with respect to a substrate and an exhaust unit for exhausting substance in the inner space to the outside. The exhaust unit includes a first exhaust plate located at an upstream of an exhaust path of the substance, the first exhaust plate having first exhaust holes, and a second exhaust plate located at a downstream of the exhaust path, the first exhaust plate having second exhaust holes. The first exhaust plate is disposed outside a support member, and the second exhaust plate is disposed below the first exhaust plate generally in parallel to the first exhaust plate. The exhaust unit further includes first covers for selectively opening and closing the first exhaust holes and second covers for selectively opening and closing the second exhaust holes.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: July 8, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Song Keun Yoon, Byoung Gyu Song, Jae Ho Lee, Kyong Hun Kim
  • Patent number: 8771418
    Abstract: According to one embodiment of the present invention, a substrate-processing apparatus comprises: a lower chamber with an open top; an upper chamber which covers the top of the lower chamber, and which cooperates with the lower chamber to form an internal space for substrate-processing; a shower head arranged in a lower portion of the upper chamber to supply reaction gas to the internal space, and forming a buffer space between the shower head and the upper chamber; a gas supply port formed in the upper chamber to supply reaction gas to the buffer space; and a diffusion unit arranged in the buffer space to diffuse the reaction gas supplied through the gas supply port.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: July 8, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Sung Tae Je, Chan Yong Park, Kyoung Hun Kim
  • Publication number: 20140175054
    Abstract: In one embodiment, a gas distribution assembly includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.
    Type: Application
    Filed: March 3, 2014
    Publication date: June 26, 2014
    Applicant: Applied Materials, Inc.
    Inventors: David Keith CARLSON, Satheesh KUPPURAO, Howard BECKFORD, Herman DINIZ, Kailash Kiran PATALAY, Brian Hayes BURROWS, Jeffery Ronald CAMPBELL, Zuoming ZHU, Xiaowei LI, Errol Antonio SANCHEZ
  • Publication number: 20140179108
    Abstract: Embodiments of the invention generally relate to an apparatus and method for plasma etching. In one embodiment, the apparatus includes a process ring with an annular step away from an inner wall of the ring and is disposed on a substrate support in a plasma process chamber. A gap is formed between the process ring and a substrate placed on the substrate support. The annular step has an inside surface having a height ranging from about 3 mm to about 6 mm. During operation, an edge-exclusion gas is introduced to flow through the gap and along the inside surface, so the plasma is blocked from entering the space near the edge of the substrate.
    Type: Application
    Filed: March 4, 2013
    Publication date: June 26, 2014
    Inventors: Dung Huu Le, Graeme Jamieson Scott, Jivko Dinev, Madhava Rao Yalamanchili, Khalid Mohiuddin Sirajuddin, Puneet Bajaj, Saravjeet Singh
  • Patent number: 8758550
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; a plurality of gas exhaust holes extending between the facing surface and the opposing surface to perform gas exhaust from the facing surface toward the opposing surface; and a plurality of electrodes provided on the opposing surface, an ion-confining voltage being applied to the electrodes.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: June 24, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Patent number: 8758512
    Abstract: A vapor deposition reactor and a method for forming a thin film. The vapor deposition reactor includes at least one first injection portion for injecting a reacting material to a recess in a first portion of the vapor deposition reactor. A second portion is connected to the first space and has a recess connected to the recess of the first portion. The recess of the second portion is maintained to have pressure lower than the pressure in the first space. A third portion is connected to the second space, and an exhaust portion is connected to the third space.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: June 24, 2014
    Assignee: Veeco ALD Inc.
    Inventor: Sang In Lee
  • Publication number: 20140166206
    Abstract: A non-plasma dry etching apparatus is capable of forming textures uniformly only on one side of a silicon substrate. The non-plasma dry etching apparatus includes a stage on which a silicon substrate is placed is used as a base including plural layers. The plural layers include an electrostatic chuck layer, a heat-resistant glass layer and a space layer from the side on which the silicon substrate is placed.
    Type: Application
    Filed: November 7, 2013
    Publication date: June 19, 2014
    Applicant: Panasonic Corporation
    Inventors: NAOSHI YAMAGUCHI, HIROSHI TANABE, ICHIRO NAKAYAMA
  • Patent number: 8753474
    Abstract: Embodiments of the present invention relate to method and apparatus for providing processing gases to a process chamber with improved plasma dissociation efficiency. One embodiment of the present invention provides a baffle nozzle assembly comprising an outer body defining an extension volume connected to a processing chamber. A processing gas is flown to the processing chamber through the extension volume which is exposed to power source for plasma generation.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: June 17, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Roy C. Nangoy, Saravjeet Singh, Jon C. Farr, Sharma V. Pamarthy, Ajay Kumar
  • Patent number: 8747555
    Abstract: Provided is a thin film production apparatus that enables cost reduction and improvement of deposition efficiency by employing a common component. In a thin film production apparatus according to the present invention, a volume of a reaction space is optimized by determining the volume of the reaction space with an inner block disposed inside a vacuum tank, that is, by merely altering a size of an inner diameter of the inner block without altering a size of the vacuum tank. Accordingly, film formation on plural kinds of substrates having different sizes becomes possible using the common vacuum tank. Further, increase of the number of apparatus structural components to be prepared for each size of the substrate to be processed can be minimized, whereby the cost of the components can be reduced, and, while simplifying assembling operation, product inspection operation, and adjusting operation, excellent deposition efficiency and stable film formation can be realized.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: June 10, 2014
    Assignee: Ulvac, Inc.
    Inventors: Takakazu Yamada, Osamu Irino, Tsuyoshi Kagami
  • Patent number: 8747556
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Hyman W. H. Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong Yuan, Hougong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Patent number: 8741098
    Abstract: Disclosed herein is a table 2 for use in a plasma processing system 1 that includes an electrically conductive member serving as a lower electrode 21 for plasma formation, a lower dielectric layer 22 (first dielectric layer) formed on the electrically conductive member so that it covers the center of the upper surface of the electrically conductive member, serving to make a high-frequency electric field to be applied to plasma via a substrate uniform, and an upper dielectric layer 24 (second dielectric layer) having a relative dielectric constant of 100 or more, formed on the electrically conductive member so that it is in contact at least with the edge of the substrate, in order to prevent a high-frequency current that has propagated along the electrically conductive member face from leaking to the outside of the substrate (wafer W).
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Shinji Himori, Shoichiro Matsuyama
  • Patent number: 8735765
    Abstract: A plasma processing chamber includes a cantilever assembly and at least one vacuum isolation member configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: May 27, 2014
    Assignee: Lam Research Corporation
    Inventors: James E. Tappan, Scott Jeffery Stevenot
  • Patent number: 8733282
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: May 27, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Patent number: 8734663
    Abstract: A method for removing species from a substrate includes arranging a purge ring in a chamber proximate to a pedestal. The purge ring includes an inlet portion and an exhaust portion. The inlet portion defines an inlet plenum and an inlet baffle. The inlet baffle includes a continuous slit that is substantially continuous around a peripheral arc not less than about 270°. The exhaust portion includes an exhaust channel that is located substantially opposite the inlet baffle. The method further includes supplying ozone to the inlet plenum; at least partially defining a ring hole space having a periphery using the inlet portion and the exhaust portion; conveying gas from the inlet plenum into the ring hole space using the inlet baffle; conveying gas and other matter out of a purge space using the exhaust portion; and inhibiting deposition of material evolved from the substrate during curing using the purge ring.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: May 27, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 8728239
    Abstract: A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: May 20, 2014
    Assignee: ASM America, Inc.
    Inventors: Matthias Bauer, Gregory M Bartlett
  • Patent number: 8726838
    Abstract: According to various embodiments of the disclosure, an apparatus and method for enhanced deposition and etch techniques is described, including a pedestal, the pedestal having at least two electrodes embedded in the pedestal, a showerhead above the pedestal, a plasma gas source connected to the showerhead, wherein the showerhead is configured to deliver plasma gas to a processing region between the showerhead and the substrate and a power source operably connected to the showerhead and the at least two electrodes with plasma being substantially contained in an area which corresponds with one electrode of the at least two electrodes.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 20, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang
  • Patent number: 8721791
    Abstract: Embodiments of the present invention generally provide apparatus and methods for supporting a gas distribution showerhead in a processing chamber. In one embodiment, a gas distribution showerhead for a vacuum chamber is provided. The gas distribution showerhead comprises a body having a first side and a second side opposite the first side, and a plurality of gas passages formed through the body, the gas passages comprising a first bore formed in the first side that is fluidly coupled to a second bore formed in the second side by a restricting orifice, and a suspension feature formed in the first bore of at least one of the gas passages.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: May 13, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Robin L. Tiner, Soo Young Choi, Qunhua Wang, Jrjyan Jerry Chen
  • Patent number: 8721835
    Abstract: Embodiments of the device relate to an injector (11) for injecting a gas in a processing chamber, including an inlet (21) for receiving a gas wave or a gas flow, a flow-shaping section (20) for expanding the gas in a direction (YY?) perpendicular to a propagation axis (XX?) of the gas, and an outlet (22) for expelling the gas. The flow-shaping section has first and second sidewalls (23) which diverge according to a divergence angle (A1) relative to the propagation axis of the gas, and includes means for slowing down the velocity of the gas near the center of the flow-shaping section, relative to the velocity of the gas near at least one sidewall.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: May 13, 2014
    Assignee: Koolerheadz
    Inventor: Jacques Constant Stefan Kools
  • Patent number: 8721836
    Abstract: Plasma processing systems and methods for using pre-dissociated and/or pre-ionized tuning gases are disclosed herein. In one embodiment, a plasma processing system includes a reaction chamber, a support element in the reaction chamber, and one or more cathode discharge assemblies in the reaction chamber. The reaction chamber is configured to produce a plasma in an interior volume of the chamber. The support element positions a microelectronic workpiece in the reaction chamber, and the cathode discharge assembly supplies an at least partially dissociated and/or ionized tuning gas to the workpiece in the chamber.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: May 13, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Mark Kiehlbauch
  • Patent number: 8721790
    Abstract: A film deposition apparatus includes a turntable provided in the chamber and having on a first surface a substrate receiving area in which a substrate is placed; first and second reaction gas supplying portions supplying first and second reaction gases to the first surface, respectively; a separation gas supplying portion provided between the first reaction gas supplying portion and the second reaction gas supplying portion and supplying a separation gas that separates the first reaction gas and the second reaction gas; an evacuation port that evacuates the chamber; a space defining member provided for at least one of the first and second reaction gas supplying portions and defining a first space between the at least one of the first and second reaction gas supplying portions and the turntable and a second space so that the separation gas is likely to flow through the second space rather than the first space.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Kohichi Orito, Yasushi Takeuchi, Hiroyuki Kikuchi
  • Patent number: 8715455
    Abstract: A treatment system is described for exposing a substrate to various processes. Additionally, a gas distribution system is configured to be coupled to and utilized with the treatment system in order to distribute process material above the substrate is provided. The treatment system includes a process chamber, a radical generation system coupled to the process chamber, a gas distribution system coupled to the radical generation system and configured to distribute reactive radicals above a substrate, and a temperature controlled pedestal coupled to the vacuum chamber and configured to support the substrate. The gas distribution system is configured to efficiently transport radicals to the substrate and distribute the radicals above the substrate.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: May 6, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Publication number: 20140116620
    Abstract: A plasma processing apparatus includes an upper electrode arranged at a processing chamber and including a plurality of gas supplying zones, a branch pipe including a plurality of branch parts, an addition pipe connected to at least one of the branch parts, and a plurality of gas pipes that connect the branch parts to the gas supplying zones. The upper electrode supplies a processing gas including a main gas to the processing chamber via the gas supplying zones. The branch pipe divides the processing gas according to a predetermined flow rate ratio and supplies the divided processing gas to the gas supplying zones. The addition pipe adds an adjustment gas. A gas flow path of the gas pipe connected to the branch part to which the addition pipe is connected includes a bending portion for preventing a gas concentration variation according to an adjustment gas-to-main gas molecular weight ratio.
    Type: Application
    Filed: October 21, 2013
    Publication date: May 1, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Yusei KUWABARA, Nobuaki SHINDO, Sachie ISHIBASHI, Takahiko KATO, Noboru MAEDA
  • Patent number: 8707971
    Abstract: A chamber with a fluid distribution network for uniform fluid flow within the chamber is provided. The chamber includes a first chamber wall that has a first surface and an opposing interior surface. The first surface is formed with a first set of channels, and the interior surface is exposed to the interior of the chamber and includes a plurality of interior ports that are connected to a plurality of the first set of channels. The chamber also includes a second chamber wall that has a second surface and an opposing exterior surface. The second surface has a second set of channels that partially intersect the first set of channels when the first surface is mated with the second surface. The exterior surface also includes at least one exterior port that provides access to the second set of channels.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: April 29, 2014
    Assignee: Xyratex Corporation
    Inventors: Kenneth C. Miller, Mike Wallis
  • Patent number: 8702866
    Abstract: A showerhead electrode assembly for a plasma processing apparatus is provided. The showerhead electrode assembly includes a first member attached to a second member. The first and second members have first and second gas passages in fluid communication. When a process gas is flowed through the gas passages, a total pressure drop is generated across the first and second gas passages. A fraction of the total pressure drop across the second gas passages is greater than a fraction of the total pressure drop across the first gas passages.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: April 22, 2014
    Assignee: Lam Research Corporation
    Inventors: Jason Augustino, Anthony De La Llera, Allan K. Ronne, Jaehyun Kim, Rajinder Dhindsa, Yen-Kun Wang, Saurabh J. Ullal, Anthony J. Norell, Keith Comendant, William M. Denty, Jr.
  • Patent number: 8702867
    Abstract: A gas distribution plate that is installed in a chamber providing a reaction space and supplies a reaction gas onto a substrate placed on a substrate placing plate, wherein the gas distribution plate includes: first and second surfaces opposing to each other, wherein the second surface faces the substrate placing plate and has a recess shape; and a plurality of injection holes each including: an inflow portion that extends from the first surface toward the second surface; a diffusing portion that extends from the second surface toward the first surface; and an orifice portion between the inflow portion and the diffusing portion, wherein the plurality of inflow portions of the plurality of injection holes decrease in gas path from edge to middle of the gas distribution plate, and wherein the plurality of diffusing portions of the plurality of injection holes have substantially the same gas path.
    Type: Grant
    Filed: July 5, 2009
    Date of Patent: April 22, 2014
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jae-Wook Choi, Chan-Ho Park
  • Publication number: 20140099794
    Abstract: Systems and methods are described relating to semiconductor processing chambers. An exemplary chamber may include a first remote plasma system fluidly coupled with a first access of the chamber, and a second remote plasma system fluidly coupled with a second access of the chamber. The system may also include a gas distribution assembly in the chamber that may be configured to deliver both the first and second precursors into a processing region of the chamber, while maintaining the first and second precursors fluidly isolated from one another until they are delivered into the processing region of the chamber.
    Type: Application
    Filed: March 13, 2013
    Publication date: April 10, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Anchuan Wang, Xinglong Chen
  • Publication number: 20140083612
    Abstract: Provided is a baffle. The baffle has holes for distributing a process gas excited in a plasma state. A surface of the baffle is treated by using a surface treating material containing an aromatic compound.
    Type: Application
    Filed: August 9, 2013
    Publication date: March 27, 2014
    Applicant: PSK INC.
    Inventor: YoungYeon JI
  • Publication number: 20140083613
    Abstract: The present disclosure provides a gas supply device used in vacuum processing chambers, which comprises: a first gas source and a second gas source; a first gas switch in which its input is connected to the first gas source and its output can be switchably connected to the gas inlets of two vacuum processing chambers or two processing stations in one vacuum processing chamber; a second gas switch, in which its input is connected to the second gas source and its output can be switchably connected to the gas inlets of the two vacuum processing chambers or the two processing stations; a control device for controlling the switching of the first gas switch and the second gas switch, so as to make the first gas source and the second gas source complementarily switch between two vacuum processing chambers or two processing stations in one vacuum processing chamber.
    Type: Application
    Filed: September 18, 2013
    Publication date: March 27, 2014
    Inventors: Songlin XU, Tuqiang NI, Qiang WEI
  • Publication number: 20140083614
    Abstract: The gas supply unit includes first gas flow paths having an upstream side communicated with a common first gas supply hole and diverged on the way to have a downstream side, and second gas flow paths having an upstream side communicated with a common second gas supply hole and diverged on the way to have a downstream side. A flow path length and a flow path diameter of each of the diverged first gas flow paths and the diverged second gas flow paths are set such that periods of time for gas flowing from the first gas supply hole to the respective first gas ejecting holes are matched with each other, and periods of time for gas flowing from the second gas supply hole to the respective second gas ejecting holes are matched with each other.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 27, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Masashi Itonaga, Yohei Sano
  • Patent number: 8679254
    Abstract: [Problem] Provided is a vapor phase epitaxy apparatus of a group III nitride semiconductor including: a susceptor for holding a substrate; the opposite face of the susceptor; a heater for heating the substrate; a reactor formed of a gap between the susceptor and the opposite face of the susceptor; a raw material gas-introducing portion for supplying a raw material gases from the central portion of the reactor toward the peripheral portion of the reactor; and a reacted gas-discharging portion. Even when crystal growth is conducted on the surfaces of a large number of large-aperture substrates, the vapor phase epitaxy apparatus can eject each raw material gas at an equal flow rate for any angle, and can suppress the decomposition and crystallization of the raw material gases on the opposite face of the susceptor.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: March 25, 2014
    Assignee: Japan Pionics Co., Ltd.
    Inventors: Kenji Iso, Yoshiyasu Ishihama, Ryohei Takaki, Yuzuru Takahashi
  • Patent number: 8679255
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: March 25, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Noriiki Masuda
  • Patent number: 8673080
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: March 18, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Patent number: 8668775
    Abstract: A shower head for a chemical vapor deposition chamber can have a housing, a plurality of bosses formed upon the housing, and an inside cover. The bosses can have bores formed therethrough. The inside cover can be attached to the bosses and can have apertures formed therein such that the apertures are generally contiguous with the bores. The housing, the bosses, and the inside cover cooperate to communicate water through the shower head. The water can cool the shower head to a temperature that is substantially lower than the temperature of other parts of the chemical vapor deposition chamber, e.g., the susceptor thereof.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: March 11, 2014
    Assignee: Toshiba Techno Center Inc.
    Inventors: Vahid S. Moshtagh, Jeffrey C. Ramer
  • Patent number: 8668776
    Abstract: Apparatus and method for forming thin layers on a substrate are provided. A processing chamber has a gas delivery assembly that comprises a lid with a cap portion and a covering member that together define an expanding channel at a central portion of the lid, the covering member having a tapered bottom surface extending from the expanding channel to a peripheral portion of the covering member. Gas conduits are coupled to the expanding channel and positioned at an angle from a center of the expanding channel to form a circular gas flow through the expanding channel. The bottom surface of the chamber lid is shaped and sized to substantially cover the substrate receiving surface. One or more valves are coupled to the passageway, and one or more gas sources are coupled to each valve. A choke is disposed on the chamber lid adjacent a perimeter of the tapered bottom surface.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: March 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Vincent Ku, Dien-Yeh Wu, Hua Chung, Alan Ouye, Norman Nakashima
  • Patent number: 8663424
    Abstract: There is provided a plasma processing apparatus capable of performing a plasma process while surely supplying a gas. The plasma processing apparatus includes an outer gas supply member having gas supply openings for supplying a plasma processing gas and a jacket configured to support the outer gas supply member within a processing chamber and serving as a gas supply member supporting device. The jacket includes three supporting members installed so as to connect the outer gas supply member and a sidewall and arranged at a certain distance in a direction in which the outer gas supply member extends and mounts fixed to the sidewall so as to mount the supporting members therein. The supporting members include a first supporting member fixed to a first mount and a second supporting member movably supported in a second mount.
    Type: Grant
    Filed: May 25, 2011
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Mihara, Kenji Sudou, Kazuo Murakami, Satoshi Furukawa
  • Publication number: 20140053983
    Abstract: In a plasma processing apparatus comprising a processing chamber arranged in a vacuum chamber, a sample stage arranged under the processing chamber and having its top surface on which a wafer to be processed is mounted, a vacuum decompression unit for evacuating the interior of the processing chamber to reduce the pressure therein, and introduction holes arranged above said sample stage to admit process gas into the processing chamber, the wafer having its top surface mounted with a film structure and the film structure being etched by using plasma formed by using the process gas, the film structure is constituted by having a resist film or a mask film, a poly-silicon film and an insulation film laminated in this order from top to bottom on a substrate and before the wafer is mounted on the sample stage and the poly-silicon film underlying the mask film is etched, plasma is formed inside the processing chamber to cover the surface of members inside the processing chamber with a coating film containing a compo
    Type: Application
    Filed: October 4, 2013
    Publication date: February 27, 2014
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Masahiro SUMIYA, Motohiro TANAKA
  • Publication number: 20140051253
    Abstract: A plasma processing apparatus includes a baffle ring which separates an internal space of a vacuum chamber into a plasma space and an exhaust space. Plasma is generated in the plasma space by exciting a process gas using an energy source. The process gas is then exhausted out of the plasma space through the plasma baffle ring which surrounds an outer periphery of a substrate support. The plasma baffle ring comprises an inner support ring, an outer support ring, and vertically spaced apart circumferentially overlapping rectangular blades extending between the inner ring and the outer ring. Each blade has a major surface used to block a line of sight from the plasma space to the exhaust space, wherein the major surfaces of the blades are configured to capture nonvolatile by-products, such as plasma etch by-products, before the by-products evacuate the plasma space.
    Type: Application
    Filed: August 14, 2012
    Publication date: February 20, 2014
    Applicant: Lam Research Corporation
    Inventor: Joydeep Guha
  • Patent number: 8652258
    Abstract: It is intended to provide a substrate treatment device capable of adjusting both of a growth speed and an etching speed in a selective epitaxial growth, avoiding particle generation from nozzles, and achieving good etching characteristics. A substrate treatment device for selectively growing an epitaxial film on a surface of a substrate by alternately supplying a raw material gas containing silicon and an etching gas to a treatment chamber, the substrate treatment device being provided with a substrate support member for supporting the substrate in the treatment chamber, a heating member provided outside the treatment chamber for heating the substrate and an atmosphere of the treatment chamber, a gas supply system provided inside the treatment chamber, and a discharge port opened on the treatment chamber, wherein the gas supply system comprises first gas supply nozzles for supplying the raw material gas and second gas supply nozzles for supplying the etching gas.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: February 18, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takashi Yokogawa, Yasuhiro Inokuchi, Katsuhiko Yamamoto, Yoshiaki Hashiba, Yasuhiro Ogawa
  • Patent number: 8652296
    Abstract: A side gas injector for a plasma reaction chamber is provided. The side gas injector includes a circular distribution plate and a cover plate. The circular distribution plate includes an injection hole for injecting a reaction gas and a distribution channel part for distributing the reaction gas such that the reaction gas introduced from the injection hole can be radially simultaneously jetted in a plurality of positions along an inner circumference surface of the distribution plate. The cover plate is coupled to a top of the distribution plate and seals a top of the distribution channel part.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: February 18, 2014
    Assignee: DMS Co., Ltd.
    Inventors: Minshik Kim, Sungyong Ko, Hwankook Chae, Kunjoo Park, Keehyun Kim, Weonmook Lee
  • Publication number: 20140041805
    Abstract: Provided is a substrate processing apparatus in which the concentration of processing gas is matched in a substrate surface at the time of initiating the ejection of the processing gas from a gas supply unit. The gas supply unit is provided with a gas ejecting surface facing the wafer disposed on a disposition unit. The gas supply unit is also provided with gas flow paths, and a flow path length and a flow path diameter of the diverged gas flow paths are set such that periods of time for gas flowing from a gas supply hole to a plurality of gas ejecting holes formed on the gas ejecting surface are matched with each other. Thus, the timings when the processing gas reaches the respective gas ejecting holes immediately after initiating the ejection of the processing gas are matched.
    Type: Application
    Filed: August 5, 2013
    Publication date: February 13, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuhiro KUGA, Masashi ITONAGA
  • Publication number: 20140034240
    Abstract: Provided is a substrate treatment apparatus using plasma. The substrate treatment apparatus includes a housing having an inner space in which a substrate is treated, a support member disposed within the housing to support the substrate, a gas supply unit supplying a gas into the housing, a plasma source generating plasma from the gas supplied into the housing, and a baffle unit disposed to surround the support member within the housing, the baffle unit including a baffle in which through holes for exhausting the gas into the inner space of the housing are defined. The baffle is divided into a plurality of areas when viewed from an upper side, and each of portions of the plurality of areas is formed of a metallic material, and each of the other portions of the plurality of areas is formed of a nonmetallic material.
    Type: Application
    Filed: July 29, 2013
    Publication date: February 6, 2014
    Applicant: SEMES CO., LTD.
    Inventors: Hyung Joon KIM, Seung Pyo LEE, Hyung Je WOO
  • Publication number: 20140027060
    Abstract: In some embodiments, a gas distribution apparatus includes a first plate having a plurality of ports disposed through the first plate; a second plate disposed above and coupled to the first plate; a third plate disposed above and coupled to the second plate; a first plenum disposed between the first plate and the second plate and fluidly coupled to a first set of the plurality of ports, wherein the first plenum comprises a gas supply coupled to the first plenum to provide a process gas to an area proximate a substrate via a first set of the plurality of ports; a second plenum disposed between second plate and the third plate and fluidly coupled to the second set of ports, wherein the second plenum comprises a vacuum applied to the second plenum to remove reaction byproducts from the area proximate the substrate via a second set of the plurality ports.
    Type: Application
    Filed: July 16, 2013
    Publication date: January 30, 2014
    Applicant: Applied Matericals, Inc
    Inventors: JOSEPH M. RANISH, MEHMET TUGRUL SAMIR
  • Patent number: 8636847
    Abstract: A flow inlet element (22) for a chemical vapor deposition reactor (10) is formed from a plurality of elongated tubular elements (64, 65) extending side-by-side with one another in a plane transverse to the upstream to downstream direction of the reactor. The tubular elements have inlets for ejecting gas in the downstream direction. A wafer carrier (14) rotates around an upstream to downstream axis. The gas distribution elements may provide a pattern of gas distribution which is asymmetrical with respect to a medial plane (108) extending through the axis.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: January 28, 2014
    Assignee: Veeco Instruments Inc.
    Inventors: Mikhail Belousov, Bojan Mitrovic, Keng Moy
  • Patent number: 8632634
    Abstract: In a coating apparatus, a distributor plate 104 is disposed upstream of a silicon wafer 101 relative to the direction of flow of reactive gas. The distributor plate 104 has therein first through-holes 104a and second through-holes 104b arranged so as not to meet the first through-holes 104a. The reactive gas passes through the first through-holes 104a and flows down toward the silicon wafer 101. Further, a cooling gas passes through the second through-holes 104b.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: January 21, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Yoshikazu Moriyama, Kunihiko Suzuki, Hironobu Hirata
  • Publication number: 20140014269
    Abstract: A processing apparatus includes a substrate supporting unit that supports a substrate in a processing space in which the substrate is processed, a first partitioning member that includes a ceiling portion having an opening and partitions the processing space from an outer space, and a second partitioning member that is attached to the first partitioning member so as to close the opening and partition the processing space from the outer space together with the first partitioning member. The second partitioning member is attached to the first partitioning member so that the second partitioning member is removable from the first partitioning member by moving the second partitioning member toward a space which a lower surface of the ceiling portion faces.
    Type: Application
    Filed: September 18, 2013
    Publication date: January 16, 2014
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tomoaki Osada, Masami Hasegawa
  • Patent number: 8628622
    Abstract: A gas driven apparatus and method that can be useful for growing crystalline materials are provided. The gas driven rotation apparatus can include one or more rotatable substrate support members, each of which can be configured to support at least one substrate having a growth surface oriented in a downwardly facing position. The gas driven rotation apparatus can further include one or more drive gas channels adapted to direct the flow of a drive gas to rotate the substrate support member. One or more substrates can be positioned in the apparatus so that the growth surface of each substrate is downwardly oriented. A drive gas can flow through the drive gas channel to rotate the substrate. During rotation, reactant gases can be introduced to contact the downwardly facing growth surface, and epitaxial layers of a crystalline material can thereby be grown in a downward direction.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: January 14, 2014
    Assignee: Cree, Inc.
    Inventor: Adam William Saxler
  • Patent number: 8628616
    Abstract: A vapor-phase process apparatus and a vapor-phase process method capable of satisfactorily maintaining quality of processes even when different types of processes are performed are obtained. A vapor-phase process apparatus includes a process chamber, gas supply ports serving as a plurality of gas introduction portions, and a gas supply portion (a gas supply member, a pipe, a flow rate control device, a pipe, and a buffer chamber). The process chamber allows flow of a reaction gas therein. The plurality of gas supply ports are formed in a wall surface (upper wall) of the process chamber along a direction of flow of the reaction gas. The gas supply portion can supply a gas into the process chamber at a different flow rate from each of one gas supply port and another gas supply port different from that one gas supply port among the plurality of gas supply ports.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: January 14, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Eiryo Takasuka, Toshio Ueda, Toshiyuki Kuramoto, Masaki Ueno