With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Publication number: 20140008021
    Abstract: A substrate treatment apparatus includes a chamber providing a reaction region and including first and second sides facing each other, a module connected to the first side, an upper electrode in the reaction region, a substrate holder facing the upper electrode, wherein a substrate is disposed on the substrate holder, and first and second points are defined on the substrate, wherein the first point corresponds to a center of the substrate, and the second point is distant from the first point toward the first side, and a feeding line for applying an RF power, the feeding line connected to the upper electrode corresponding to the second point.
    Type: Application
    Filed: September 6, 2013
    Publication date: January 9, 2014
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventor: Ho Chul KANG
  • Patent number: 8623172
    Abstract: A substrate processing apparatus includes: a depressurizable processing chamber 11; a shaft 26 supporting a facing electrode 24 provided within the processing chamber 11 while allowing the facing electrode 24 to be movable with respect to a mounting electrode 12; a first ring-shaped bellows 31 concentrically installed at an outer peripheral portion of the shaft 26; and a second bellows 32 concentrically installed at an outer peripheral portion of the first bellows 31. The first bellows 31 absorbs a displacement of the facing electrode 24 with respect to a wall surface 13 at a penetration portion where the shaft 26 penetrates the wall surface 13 of the processing chamber 11, and seals the inside of the processing chamber 11 against the ambient atmosphere around the shaft 26. A ring-shaped gas flow path 35 is formed by the first bellows 31 and the second bellows 32.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 8623142
    Abstract: A coating apparatus includes a base, actuators, separating boards and a gas guide grill. The base includes a carrying surface for supporting a workpiece. The base defines recesses on the carrying surface. The actuators include shafts rotatably located in the recesses correspondingly, and motors for driving the shafts. The separating boards are located above the carrying surface and securely connected to the shafts. The separating boards define chambers therebetween. The separating boards are capable of being rotated toward the carrying surface by the shafts. The gas guide grill is located above the base. The gas guide grill defines gas guide holes corresponding to the chambers respectively.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: January 7, 2014
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8617349
    Abstract: A showerhead for a plasma process apparatus for processing substrates, comprising a showerhead body comprising a top plate and a bottom plate defining a cavity in between; a gas inlet formed in the top plate; a perforated plate positioned between the top plate and the bottom plate and dissecting the cavity into an upper gas compartment and a lower gas compartment; and, wherein the bottom plate comprises a plurality of elongated diffusion slots on its lower surface and a plurality of diffusion holes on its upper surface, each of the diffusion holes making fluid connection from the lower gas compartment to more than one of the diffusion slots.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: December 31, 2013
    Assignee: Orbotech LT Solar, LLC.
    Inventors: Kam S. Law, Masato Toshima, Wendell Thomas Blonigan, Linh Can, Robin K. F. Law
  • Patent number: 8617347
    Abstract: A method and apparatus for vacuum processing of a workpiece, the apparatus including a flow equalizer disposed in a vacuum processing chamber between a workpiece support pedestal and a pump port located in a wall of the vacuum processing chamber. In an embodiment, the flow equalizer has a first annular surface concentric about the workpiece support pedestal to provide conductance symmetry about the workpiece support even when the pump port is asymmetrically positioned within the vacuum processing chamber. In an embodiment, the flow equalizer has a second annular surface facing a lower surface of the workpiece support pedestal to restrict conductance as the flow equalizer is moved is response to a chamber pressure control signal. In an embodiment, the apparatus for vacuum processing of a workpiece includes tandem vacuum processing chambers sharing a vacuum pump with each tandem chamber including a flow equalizer to reduce cross-talk between the tandem chambers.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jisoo Kim, Thorsten B. Lill
  • Patent number: 8617350
    Abstract: The invention relates to a linear plasma system. The linear plasma system includes a number of troughs of an electrode alternating with a number of peaks of the electrode forming a sawtooth shape, a reactive gas feed, a precursor gas feed, and a power source. The reactive gas feed is disposed on the electrode and configured to continuously release a reactive gas into an array of holes located at the trough apex. The precursor gas feed is disposed on the electrode and configured to continuously release a precursor gas into an array of holes located at the peak apex. The power source is configured to apply radio frequency power to the electrode to simultaneously interact with the reactive gas mixed with the precursor gas to generate plasma, which is used to create a product that is deposited on a substrate.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: December 31, 2013
    Assignee: Belight Technology Corporation, Limited
    Inventor: Quanyuan T. Shang
  • Patent number: 8608854
    Abstract: A CVD device includes a reaction chamber, a support device, a gas input assembly and a gas output device. The support device is positioned in the reaction chamber. The gas input assembly and a gas output assembly are connected to the reaction chamber respectively. The gas input assembly includes a main body positioned in the reaction chamber and a plurality of gas jets uniformly positioned on the main body, introducing mixed gas to the reaction chamber uniformly.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: December 17, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8603292
    Abstract: A five-sided quartz window configured to be mounted on a degas chamber as a UV-transmissive window. The quartz window is made of synthetic quartz and has a uniform thickness. The shape of the quartz window is defined by an upper surface, a lower surface and a sidewall therebetween. The sidewall has five straight sections interconnected by five arcuate sections. The quartz window has four arcuate recesses extending into the sidewall.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: December 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Jason Augustino, Tim Hart
  • Patent number: 8597462
    Abstract: A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: December 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Danny Brown, Leonard Sharpless
  • Patent number: 8591655
    Abstract: A thin film-forming apparatus, for ensuring uniform plane distribution of properties of a film formed on a substrate surface, has a gas-supply port 24a supplying a gas mixture from a gas-mixing chamber 24 to a shower head 25. The port is arranged at the peripheral portion on the bottom face of the gas-mixing chamber so that the gas mixture flows from the upper peripheral region of the head towards the center thereof. An exhaust port 32 discharging the exhaust gas generated in the film-forming chamber 3 is arranged at a position lower than the level of a stage 31 during film-formation directing the exhaust gas towards the side wall of the chamber 3 and discharging the exhaust gas through the exhaust port. The stage 31 is designed to move freely up and down to adjust the distance between the shower head 25 and substrate S.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: November 26, 2013
    Assignee: Ulvac, Inc.
    Inventors: Takeshi Masuda, Masahiko Kajinuma, Takakazu Yamada, Hiroto Uchida, Masaki Uematsu, Koukou Suu
  • Publication number: 20130309874
    Abstract: An apparatus for treating a wafer-shaped article, comprises a spin chuck for holding a wafer-shaped article in a predetermined orientation, a liquid dispenser for dispensing a treatment liquid onto a downwardly facing surface of a wafer-shaped article when positioned on the spin chuck, and a gas dispenser for dispensing a gas within a gap defined between the downwardly-facing surface of the wafer-shaped article and an upper surface of the spin chuck.
    Type: Application
    Filed: May 15, 2012
    Publication date: November 21, 2013
    Applicant: LAM RESEARCH AG
    Inventors: Kei KINOSHITA, Keisuke SATO
  • Patent number: 8580076
    Abstract: A plasma apparatus, various components of the plasma apparatus, and an oxygen free and nitrogen free processes for effectively removing photoresist material and post etch residues from a substrate with a carbon and/or hydrogen containing low k dielectric layer(s).
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: November 12, 2013
    Assignee: LAM Research Corporation
    Inventors: Alan Frederick Becknell, Thomas James Buckley, David Ferris, Richard E. Pingree, Jr., Palanikumaran Sakthivel, Aseem Kumar Srivastava, Carlo Waldfried
  • Patent number: 8573154
    Abstract: The present invention relates to a plasma film forming apparatus. In the plasma film forming apparatus, a flow control jig is disposed between a plasma nozzle and a film formation region of a substrate. The flow control jig has a plasma supply path, a raw material supply path, a film formation joined path formed by combining the plasma supply path and the raw material supply path, an exhaust path for discharging a plasma discharge gas and an unreacted raw material transported from the film formation region, and a recovery path for returning the unreacted raw material in the exhaust path to the plasma supply path.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Honda Motor Co., Ltd.
    Inventor: Shunichi Yorozuya
  • Patent number: 8574445
    Abstract: Provided are a method for generating hollow cathode plasma and a method for treating a large area substrate using the hollow cathode plasma. In the methods, the hollow cathode plasma is generated by a gas introduced between a hollow cathode in which a plurality of lower grooves where plasma is generated is defined in a bottom surface thereof and a baffle in which a plurality of injection holes is defined. A substrate disposed on a substrate support member is treated using the hollow cathode plasma passing through the injection holes. The uniform plasma having high density can be generated by hollow cathode effect due to the hollow cathode having the lower grooves and the injection holes of the baffle. Also, since the substrate can be treated using a hydrogen gas and a nitrogen gas in an ashing process, a damage of a low dielectric constant dielectric can be minimized.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: November 5, 2013
    Assignee: PSK Inc.
    Inventors: Jeonghee Cho, Jong Ryang Joo, Shinkeun Park
  • Publication number: 20130284700
    Abstract: Embodiments of the present invention relate to method and apparatus for providing processing gases to a process chamber with improved uniformity. One embodiment of the present invention provides a gas injection assembly. The gas injection assembly includes an inlet hub, a nozzle having a plurality of injection passages disposed against the inlet hub, and a distribution insert disposed between the nozzle and the inlet hub. The distribution insert has one or more gas distribution passages configured to connect the inlet hub to the plurality of the injection passages the nozzle. Each of the one or more gas distribution passages has one inlet connecting with a plurality of outlets, and distances between the inlet and each of the plurality of outlets are substantially equal.
    Type: Application
    Filed: March 8, 2013
    Publication date: October 31, 2013
    Inventors: Roy C. NANGOY, Andrew Y. NGUYEN
  • Patent number: 8568553
    Abstract: A method and apparatus for etching photomasks is provided herein. In one embodiment, the apparatus comprises a process chamber having a support pedestal adapted for receiving a photomask. An ion-neutral shield is disposed above the pedestal and a deflector plate assembly is provided above the ion-neutral shield. The deflector plate assembly defines a gas flow direction for process gases towards the ion-neutral shield, while the ion-neutral shield is used to establish a desired distribution of ion and neutral species in a plasma for etching the photomask.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: October 29, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Madhavi R. Chandrachood, Richard Lewington, Darin Bivens, Amitabh Sabharwal, Sheeba J. Panayil, Alan Hiroshi Ouye
  • Patent number: 8568554
    Abstract: In a gas introduction structure that is reciprocatingly movable in a predetermined direction, a processing gas introduction system is configured to introduce a processing gas into a processing chamber in which a substrate is subjected to a predetermined processing under a clean atmosphere; and a processing gas supply line is configured to be connected to the processing gas introduction system and an external processing gas supply source to supply the processing gas from the processing gas supply source to the processing gas introduction system, mutual relative positions of the processing gas supply source and the processing gas introduction system being changed. The processing gas supply line includes: a first bendable portion connected to the processing gas supply source; a second bendable portion connected to the processing gas introduction system; and a non-bendable pipe interposed between the first bendable portion and the second bendable portion.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: October 29, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Publication number: 20130276983
    Abstract: A plasma processing apparatus may include a process chamber configured to perform a plasma using process and contain a plurality of substrates, a support member provided in the process chamber, the substrates being laid on the same level of the support member, an injection member provided to face the support member and include a plurality of baffles, such that at least one reaction gas and a purge gas can be injected onto the substrates in an independent manner, and a driving part configured to rotate the support member or the injection member, such that the baffles of the injection member can orbit with respect to the plurality of the substrates laid on the support member. The injection member may include a plasma generator, which may be provided on at least one, configured to inject the reaction gas, of the baffles to turn the reaction gas into plasma.
    Type: Application
    Filed: January 12, 2012
    Publication date: October 24, 2013
    Applicants: HITACHI KOKUSAI ELECTRIC INC., KOOKJE ELECTRIC KOREA CO., LTD.
    Inventors: Yong Sung Park, Sung Kwang Lee, Dong Yeul Kim, Kazuyuki Toyoda, Osamu Kasahara, Tetsuaki Inada
  • Patent number: 8562743
    Abstract: A high pressure processing system including a chamber configured to house a substrate. A fluid introduction system includes at least one composition supply system configured to supply a first composition and a second composition, and at least one fluid supply system configured to supply a fluid. The fluid supply system is configured to alternately and discontinuously introduce the first composition and the second composition to the chamber within the fluid.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Patent number: 8562785
    Abstract: A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The upper plate can include eight radially extending gas passages evenly spaced around the periphery of the upper plate and the lower plate can include inner and outer rows of gas holes. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: October 22, 2013
    Assignee: Lam Research Corporation
    Inventors: Michael Kang, Alex Paterson, Ian J. Kenworthy
  • Patent number: 8562742
    Abstract: Apparatus for the delivery of a gas to a chamber and methods of use thereof are provided herein. In some embodiments, a gas distribution system for a process chamber may include a body having a first surface configured to couple the body to an interior surface of a process chamber, the body having a opening disposed through the body; a flange disposed proximate a first end of the opening opposite the first surface of the body, the flange extending inwardly into the opening and configured to support a window thereon; and a plurality of gas distribution channels disposed within the body and fluidly coupling a channel disposed within the body and around the opening to a plurality of holes disposed in the flange, wherein the plurality of holes are disposed radially about the flange.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: October 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jared Ahmad Lee, Martin Jeff Salinas, Ankur Agarwal, Ezra Robert Gold, James P. Cruse, Aniruddha Pal, Andrew Nguyen
  • Publication number: 20130269876
    Abstract: An apparatus for fabricating a semiconductor device includes a chamber, a processing part inside the chamber, a gas injection pipe connected to the chamber, a gas pumping pipe connected to the chamber, and a baffle assembly embedded in a chamber wall, and the baffle assembly includes a baffle plate having baffle holes, and a baffle guide surrounding an outer surface of the baffle plate.
    Type: Application
    Filed: March 12, 2013
    Publication date: October 17, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ik-Soo KIM, Ho-Gon KIM, Yong-Taek HONG, Kyoung-Hwan KIM, Hee-Seok KIM, Sung-Ho HAN
  • Publication number: 20130264309
    Abstract: Methods and apparatus for processing a substrate using plasma are disclosed. The apparatus includes a plasma processing system having a process gas supply arrangement for supplying a process gas into an interior region of said chamber and a plasma source configured for generating said plasma at least from said process gas. The apparatus also includes an acoustic energy generator arrangement configured to apply acoustic energy to at least one of a chamber component and said substrate, wherein said acoustic energy generator generates said acoustic energy in the range of 10 Hz to 1 MHz using at least one of a piezoelectric transducing, mechanical coupling vibration, wafer backside gas pulsing, pulsing of said process gas, pressure wave pulsing, and electromagnetic coupling.
    Type: Application
    Filed: April 5, 2012
    Publication date: October 10, 2013
    Inventors: Ian J. Kenworthy, Daniel A. Brown, Cliff E. La Croix, Josh A. Cormier
  • Patent number: 8551288
    Abstract: In an apparatus and method for removing a photoresist structure from a substrate, a chamber for receiving the substrate includes a showerhead for uniformly distributing a mixture of water vapor and ozone gas onto the substrate. The showerhead includes a first space having walls and configured to receive the water vapor, and a second space connected to the first space so that the water vapor is supplied to and partially condensed into liquid water on one or more walls of the first space. Ozone gas and water vapor without liquid water may be supplied to the second space to form the mixture therein. The showerhead may be heated to vaporize the liquid water on a given surface of the first space.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Gi Kim, In-Seak Hwang, Dae-Hyuk Chung, Kyoung-Hwan Kim
  • Patent number: 8552334
    Abstract: A plasma processing chamber includes a cantilever assembly and at least one vacuum isolation member configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: October 8, 2013
    Assignee: Lam Research Corporation
    Inventors: James E. Tappan, Scott Jeffery Stevenot
  • Patent number: 8551248
    Abstract: A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Goodlin, Qidu Jiang
  • Publication number: 20130256269
    Abstract: The described embodiments relate generally to the manufacturing of consumer electronics and computing devices, and more particularly to providing mechanisms that modify the surface energy of a substrate to facilitate the forming of a bond between disparate materials. In one embodiment, the surface energy of a polyester substrate can be enhanced by exposing a surface of the polyester substrate to a plasma formed from approximately 90% atmospheric air, 5% carbon dioxide, and 5% argon. In another embodiment, contaminants can be removed from the surface of the polyester substrate and the surface energy of the substrate can be increased by exposing the polyester substrate first to an argon plasma etching process and second to a plasma formed from approximately 95% atmospheric air and 5% carbon dioxide.
    Type: Application
    Filed: September 28, 2012
    Publication date: October 3, 2013
    Applicant: Apple Inc.
    Inventor: Michael M. NIKKHOO
  • Publication number: 20130256268
    Abstract: A plasma processing system. The processing system comprises a process chamber having first and second ends arranged such that the first end opposes the second end. A substrate support is positioned at the first end of the process chamber and is configured to support a substrate. An exhaust system is positioned proximate the second end of the process chamber and draws a vacuum on the process chamber. Between the exhaust system and substrate support there is a plurality of super-Debye openings, and between the exhaust system and the plurality of super-Debye openings is a plurality of sub-Debye openings. The super-Debye openings are configured to limit diffusion of plasma while the sub-Debye openings are configured to quench plasma.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 3, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lee Chen, Merritt Funk
  • Patent number: 8546270
    Abstract: An atomic layer deposition apparatus and an atomic layer deposition method increase productivity. The atomic layer deposition apparatus includes a reaction chamber, a heater for supporting a plurality of semiconductor substrates with a given interval within the reaction chamber and to heat the plurality of semiconductor substrates and a plurality of injectors respectively positioned within the reaction chamber and corresponding to the plurality of semiconductor substrates supported by the heater. The plurality of injectors are individually swept above the plurality of semiconductor substrates to spray reaction gas.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Hyun Kim, Ki-Vin Im, Hoon-Sang Choi, Moon-Hyeong Han
  • Patent number: 8539908
    Abstract: A film forming apparatus includes a processing chamber, and a mounting table disposed in the processing chamber to mount a substrate thereon. The film forming apparatus further includes a gas shower head having gas supply holes and including a central region facing a central portion of the substrate and a peripheral region facing a peripheral portion of the substrate, a first processing gas supply unit for supplying a first processing gas to the central region, a second processing gas supply unit for supplying a second processing gas to the central region, an energy supply unit for supplying energy to react the first processing gas with the second processing gas on the substrate, and a purge gas supply unit for supplying a purge gas to the central region and the peripheral region when one of the first and the second processing gas is switched by the other.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: September 24, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Patent number: 8535443
    Abstract: A system of gas lines for a processing chamber and a method of forming a gas line system for a processing chamber are provided. The system of gas lines includes electropolished multi-way valves that connect electropolished linear gas lines. By using multi-way valves rather than tee-fittings and electropolishing the linear gas lines, the nucleation of contaminating particles in the system of gas lines may be reduced.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: September 17, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Wei Ti Lee, Ted Guo, Steve H. Chiao, Alan A. Ritchie
  • Patent number: 8536071
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Gregory R. Bettencourt, Gautam Bhattacharyya, Simon Gosselin, Sandy Chao
  • Publication number: 20130237058
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Application
    Filed: April 26, 2013
    Publication date: September 12, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Noriiki MASUDA
  • Patent number: 8528498
    Abstract: An integrated steerability array arrangement for managing plasma uniformity within a plasma processing environment to facilitate processing of a substrate is provided. The arrangement includes an array of electrical elements. The arrangement also includes an array of gas injectors, wherein the array of electrical elements and the array of gas injectors are arranged to create a plurality of plasma regions, each plasma region of the plurality of plasma regions being substantially similar. The arrangement further includes an array of pumps, wherein individual one of the array of pumps being interspersed among the array of electrical elements and the array of gas injectors. The array of pumps is configured to facilitate local removal of gas exhaust to maintain a uniform plasma region within the plasma processing environment.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: September 10, 2013
    Assignee: Lam Research Corporation
    Inventor: Neil Benjamin
  • Patent number: 8529729
    Abstract: An assembly comprises a component of a plasma process chamber, a thermal source and a polymer composite therebetween exhibiting a phase transition between a high-thermal conductivity phase and a low-thermal conductivity phase. The temperature-induced phase change polymer can be used to maintain the temperature of the component at a high or low temperature during multi-step plasma etching processes.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: September 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Tom Stevenson, Michael Dickens
  • Patent number: 8529730
    Abstract: In a plasma processing apparatus including a vacuum-evacuable processing chamber, a first lower electrode for supporting a substrate to be processed thereon is disposed in the processing chamber and an upper electrode is disposed above the first lower electrode to face the first lower electrode. Further, a second lower electrode is disposed under the first lower electrode while being electrically isolated from the first lower electrode. A processing gas supply unit supplies a processing gas into a space between the upper electrode and the first lower electrode. A first high frequency power supply unit applies a first high frequency power of a first frequency to the first lower electrode, and a second high frequency power supply unit applies a second high frequency power of a second frequency higher than the first frequency to the second lower electrode.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: September 10, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yohei Yamazawa
  • Patent number: 8529701
    Abstract: A substrate processing apparatus includes a reaction tube, the reaction tub including an inner tube made of quartz and an outer tube made of quartz; a manifold made of quartz disposed under the outer tube, a top surface of the manifold being in air-tight contact with a bottom surface of the outer tube via a sealing member; a seal cap cover made of quartz disposed under the manifold, a top surface of the seal cap cover being in air-tight contact with a bottom surface of the manifold via a sealing member; a seal cap covered by the seal cap cover, a top surface of the seal cap being in air-tight contact with a bottom surface of the seal cap cover via a sealing member; and at least one protrusion disposed at the bottom surface of one of the outer tube, the manifold, the seal cap cover, and combinations thereof.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: September 10, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shinya Morita, Takayuki Nakada, Tomoyuki Matsuda, Keisuke Sakashita
  • Publication number: 20130224959
    Abstract: Disclosed are a method and a system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap plating base removal process.” In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor.
    Type: Application
    Filed: February 21, 2013
    Publication date: August 29, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: International Business Machines Corporation
  • Patent number: 8518210
    Abstract: An apparatus for purging a space in a processing chamber comprises a source of a purge gas; an inlet portion of a purge ring; an inlet baffle located in the inlet portion and fluidically connected to the source of purge gas; and an exhaust portion of the purge ring. The inlet portion and the exhaust portion define a ring hole space having a 360° periphery. The inlet baffle preferably surrounds not less than 180° of said periphery. The inlet baffle is operable to convey purge gas into the ring hole space. The exhaust portion is operable to convey purge gas and other matter out of the ring hole space. Cleaning of the purge ring and other structures in a processing chamber is conducted by flowing a cleaning gas through the inlet baffle. Some embodiments include a gas inlet plenum and an exhaust channel but not a purge ring.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: August 27, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 8512509
    Abstract: In a showerhead assembly, a path splitting manifold comprises a gas supply inlet and a planar floor and plural gas outlets extending axially through the floor and azimuthally distributed about the floor. The path splitting manifold further comprises a plurality of channels comprising plural paths between the inlet and respective ones of the plural outlets. A gas distribution showerhead underlies the floor of the manifold and is open to the plural outlets. In certain embodiments, the plural paths are of equal lengths.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: August 20, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Shahid Rauf
  • Patent number: 8512472
    Abstract: Methods and apparatus for controlling temperature and flow characteristics of process gases in a process chamber have been provided herein. In some embodiments, an apparatus for controlling temperature and flow characteristics of a process gas in a process chamber may include a gas pre-heat ring configured to be disposed about a substrate and having a labyrinthine conduit disposed therein, wherein the labyrinthine conduit has an inlet and outlet to facilitate the flow of the process gas therethrough.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: August 20, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jean R. Vatus, Kailash Kiran Patalay
  • Publication number: 20130206338
    Abstract: A plasma processing apparatus comprises an upper electrode 42, a lower electrode, a grounding member 61 provided above the upper electrode 42 via an insulating member 60; and a DC power supply for applying a DC voltage to the upper electrode 42. Gas diffusion rooms 54 and 55 communicating with a gas supply opening 53 formed at a lower surface of the upper electrode 42 are formed in the upper electrode 42 and a gas flow path 62 communicating with the gas diffusion rooms 54 and 55 is formed in the insulating member 60. A bent portion 63 for allowing a gas within the gas flow path to flow in a direction having at least a horizontal component is formed at the gas flow path 62 such that an end of the gas flow path 62 cannot be seen from the other end thereof when viewed from the top.
    Type: Application
    Filed: January 17, 2013
    Publication date: August 15, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tokyo Electron Limited
  • Patent number: 8506713
    Abstract: The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is loc
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: August 13, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Patent number: 8506754
    Abstract: A cross flow chemical vapor deposition chamber can comprise an inlet duct having a generally rectangular cross-section and an outlet duct having a generally rectangular cross-section. The rectangular inlet duct and the rectangular outlet duct can facilitate laminar flow of reactant gases over a susceptor. Movable partitions can be configured to define a plurality of zones within the chamber. Each zone can contain a different reactant gas, concentration of reactant gas, and/or flow rate of reactant gas. Enhanced laminar flow can be provided, undesirable depletion of reactant gas can be mitigated, and enhanced control of reactant gases can be facilitated.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: August 13, 2013
    Assignee: Toshiba Techno Center Inc.
    Inventors: Vahid S. Moshtagh, Heng Liu, Jeffery Ramer, Michael Solomensky
  • Patent number: 8506710
    Abstract: An apparatus for fabricating a semiconductor device includes: a chamber having a sidewall; a susceptor in the chamber; a plurality of injection holes in the sidewall, the plurality of injection holes disposed along a horizontal direction; and a plurality of exhaust holes in the sidewall, the plurality of exhaust holes disposed along the horizontal direction and facing the plurality of injection holes with the susceptor therebetween.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: August 13, 2013
    Assignee: LG Display Co., Ltd.
    Inventor: Jung-Woo Hwang
  • Patent number: 8500952
    Abstract: Plasma confinement ring assemblies are provided that include confinement rings adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to avoid polymer deposition on those surfaces. The plasma confinement rings include thermal chokes adapted to localize heating at selected portions of the rings that include the plasma exposed surfaces. The thermal chokes reduce heat conduction from those portions to other portions of the rings, which causes selected portions of the rings to reach desired temperatures during plasma processing.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: August 6, 2013
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Felix Kozakevich, James H. Rogers, David Trussell
  • Publication number: 20130186431
    Abstract: Some embodiments include utilization of both plasma and aerosol to treat substrate surfaces. The plasma and aerosol may be utilized simultaneously, or sequentially. In some embodiments, the plasma forms a plasma sheath over the substrate surfaces, with the plasma sheath having an electric field gradient therein. The aerosol comprises liquid particles charged to a polarity, and such polarity is transferred to contaminants on the substrate surfaces through interaction with the aerosol. The polarity may be used to assist in dislodging the contaminants from the substrate surfaces. The electric field of the plasma sheath may then sweep the contaminants away from the substrate surfaces. In some embodiments, multiple different aerosols are formed to remove multiple different types of materials from substrate surfaces. Some embodiments include apparatuses configured for treating substrate surfaces with both plasma and aerosol.
    Type: Application
    Filed: March 11, 2013
    Publication date: July 25, 2013
    Applicant: Micron Technology, Inc.
    Inventor: Micron Technology, Inc.
  • Patent number: 8491752
    Abstract: A substrate mounting table includes a plate shaped member provided with a mounting surface for mounting a substrate thereon, a plurality of gas injection openings opened on the mounting surface to supply a gas toward the mounting surface, and a gas supply channel for supplying the gas through the gas injection openings; and a thermally sprayed ceramic layer covering the mounting surface. At least inner wall portions of the gas supply channel are formed in curved surface shapes, the inner wall portions facing the gas injection openings.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: July 23, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Ueda, Yoshiyuki Kobayashi, Kaoru Oohashi
  • Patent number: 8491720
    Abstract: Embodiments disclosed herein generally relate to an HVPE chamber. The chamber may have two separate precursor sources coupled thereto to permit two separate layers to be deposited. For example, a gallium source and a separate aluminum source may be coupled to the processing chamber to permit gallium nitride and aluminum nitride to be separately deposited onto a substrate in the same processing chamber. The nitrogen may be introduced to the processing chamber at a separate location from the gallium and the aluminum and at a lower temperature. The different temperatures causes the gases to mix together, react and deposit on the substrate with little or no deposition on the chamber walls.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: July 23, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, David H. Quach, Anzhong Chang, Olga Kryliouk, Yuriy Melnik, Harsukhdeep S. Ratia, Son T. Nguyen, Lily Pang
  • Publication number: 20130180954
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for processing a substrate may include a plasma process chamber having a processing volume and a substrate support disposed in the processing volume, the substrate support having a substrate support surface for supporting a substrate; a plurality of first gas inlets to provide a process gas to the processing volume, wherein the plasma process chamber is configured such that flowing the process gas at the same flow rate from each first gas inlet produces a non-uniform plasma at the substrate support surface; and a plurality of flow controllers, wherein each flow controller of the plurality is coupled to a corresponding one of the plurality of first gas inlets to control the flow of the process gas from the corresponding one first gas inlet.
    Type: Application
    Filed: January 4, 2013
    Publication date: July 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: APPLIED MATERIALS, INC.