With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Patent number: 8277561
    Abstract: There is provided a chemical vapor deposition apparatus improved in structure such that a reaction gas introduced into a reactor where deposition is performed flows at a substantially uniform rate to ensure a thin film is grown substantially uniformly on the deposition object. The chemical vapor deposition apparatus includes: a chamber; a reactor provided in the chamber to have a deposition object deposited therein; and a reservoir storing a reaction gas fed from the outside to introduce the reaction gas to the reactor, the reservoir having a cross-sectional area changing according to a flow path of the introduced reaction gas.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 2, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changsung Sean Kim, Chang Hwan Choi, Jong Pa Hong, Joong El Kim
  • Patent number: 8272346
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: April 10, 2009
    Date of Patent: September 25, 2012
    Assignee: Lam Research Corporation
    Inventors: Gregory R. Bettencourt, Gautam Bhattacharyya, Simon Gosselin Eng, Sandy Chao
  • Patent number: 8268117
    Abstract: A silicon-based showerhead electrode is provided that can include a backside, a frontside, and a plurality of showerhead passages extending from the backside of the silicon-based showerhead electrode to the frontside of the silicon-based showerhead electrode. The silicon-based showerhead electrode can comprise single crystal silicon. The silicon-based showerhead electrode may further include a plurality of partial recesses formed within the single crystal silicon along the backside of the silicon-based showerhead electrode. The plurality of partial recesses can leave a thickness of single crystal silicon between each of the partial recesses and the frontside of the silicon-based showerhead electrode.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: September 18, 2012
    Assignee: Lam Research Corporation
    Inventors: Greg Bettencourt, Raj Dhindsa, George Diercks, Randall A. Hardin, Jon Keihl, Duane Lytle, Alexei Marakhtanov, Roger Patrick, John Pegg, Shannon Spencer
  • Patent number: 8267042
    Abstract: The shower plate is arranged to seal an upper opening of a process container that is configured by a chamber, a spacer, and an upper plate. A plasma excitation gas is spurted into the chamber through the opening portions of the shower plate. Microwaves are supplied to a slot antenna arranged outside the shower plate, thereby generating plasma. Atmospheric air in a first gap between the inner wall of the spacer and the outer circumferential surface of the shower plate and a second gap between a radiation surface of the slot antenna and the dielectric cover plate is sucked by a gas suction unit through gas exhaust holes. The toxic gas is purified by a gas purification unit. Thus, the toxic gas is prevented from leaking out of the plasma processing apparatus even when the shower plate is broken.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Kiyotaka Ishibashi
  • Publication number: 20120231553
    Abstract: A substrate processing apparatus includes a processing vessel evacuated by an evacuation system and including therein a stage for holding thereon a substrate to be processed, the processing vessel defining therein a processing space, a processing gas supply path that introduces an etching gas into the processing vessel, a plasma source that forms plasma in the processing space, and a high-frequency source connected to the stage. The processing vessel includes therein a shielding plate dividing the processing space into a first processing space part including a surface of the substrate to be processed and a second processing space part corresponding to a remaining part of the processing space, wherein the shielding plate is formed with an opening having a size larger than a size of the substrate to be processed.
    Type: Application
    Filed: May 24, 2012
    Publication date: September 13, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Yoichi OKITA, Koji IBI, Minoru Suzuki, Yuuichi Tachino
  • Patent number: 8262844
    Abstract: Provided is a plasma processing apparatus including a processing vessel accommodating a target object; a microwave generator configured to generate a microwave; a waveguide configured to induce the microwave to the processing vessel; a planar antenna having a plurality of microwave radiation holes through which the microwave induced to the waveguide is radiated toward the processing vessel; a microwave transmission plate configured to serve as a ceiling wall of the processing vessel and transmit the microwave passed from the microwave radiation holes of the planar antenna; a processing gas inlet unit configured to introduce a processing gas into the processing vessel; and a magnetic field generating unit positioned above the planar antenna and configured to generate a magnetic field within the processing vessel and control a property of plasma of the processing gas by the magnetic field, the plasma being generated by the microwave within the processing vessel.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: September 11, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hiraku Ishikawa, Yasuhiro Tobe
  • Patent number: 8262798
    Abstract: The present invention herein provides a shower head whose temperature can be controlled in consideration of the film-forming conditions selected and a thin film-manufacturing device which permits the stable and continuous formation of thin films including only a trace amount of particles while reproducing a good film thickness distribution and compositional distribution, and a high film-forming rate and which is excellent in the productivity and the mass-producing ability as well as a method for the preparation of such a film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 11, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Yutaka Nishioka, Masaki Uematsu, Koukou Suu
  • Patent number: 8257601
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi
  • Publication number: 20120217222
    Abstract: A plasma processing system for generating plasma to process a wafer. The plasma processing system includes a set of top coils for initiating the plasma, a set of side coils for affecting distribution of the plasma, and a chamber structure for containing the plasma. The chamber structure includes a chamber wall and a dielectric member. The dielectric member includes a top, a vertical wall, and a flange. The top is connected through the vertical wall to the flange, and is connected through the vertical wall and the flange to the chamber wall. The set of top coils is disposed above the top. The set of side coils surrounds the vertical wall. A vertical inner surface of the vertical wall is configured to be exposed to the plasma. The inner diameter of the vertical wall is smaller than the inner diameter of the chamber wall.
    Type: Application
    Filed: February 24, 2011
    Publication date: August 30, 2012
    Inventors: Maolin Long, Alex Paterson
  • Publication number: 20120220108
    Abstract: When processing such as SiC epitaxial growth is performed at an ultrahigh temperature of 1500° C. to 1700° C., a film-forming gas can be decreased to heat-resistant temperature of a manifold and film quality uniformity can be improved. A substrate processing apparatus includes a reaction chamber for processing a plurality of substrates, a boat for holding the plurality of substrates, a gas supply nozzle for supplying a film-forming gas to the plurality of substrates, an exhaust port for exhausting the film-forming gas supplied into the reaction chamber, a heat exchange part which defines a second flow path narrower than a first flow path defined by an inner wall of the reaction chamber and the boat, and a gas discharge part installed under the lowermost substrate of the plurality of substrates.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 30, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Daisuke Hara, Takeshi Itoh, Masanao Fukuda, Takatomo Yamaguchi, Hiroaki Hiramatsu, Shuhei Saido, Takafumi Sasaki
  • Patent number: 8252116
    Abstract: A seal-protected perimeter partition valve apparatus defines a vacuum and pressure sealed space within a larger space confining a substrate processing chamber with optimized geometry, minimized footprint, and 360° substrate accessibility. A compact perimeter partitioned assembly with seal protected perimeter partition valve and internally contained substrate placement member further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: August 28, 2012
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Patent number: 8252114
    Abstract: An apparatus and related method for distributing process gas in a vapor deposition system is described. The gas distribution system includes a vertically movable piston within its plenum, and the movement of the piston controls the flow rate of process gas through the vapor distribution plate of the gas distribution system. The piston can be used to accommodate changes in processing parameters that affect flow characteristics and to create edge-enhanced, uniform, and center-enhanced profiles of deposited material on a substrate without the need to replace the vapor distribution plate.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Mirko Vukovic
  • Publication number: 20120211164
    Abstract: Embodiments described herein relate to a substrate processing system that integrates substrate edge processing capabilities. Illustrated examples of the processing system include, without limitations, a factory interface, a loadlock chamber, a transfer chamber, and one or more twin process chambers having two or more processing regions that are isolatable from each other and share a common gas supply and a common exhaust pump. The processing regions in each twin process chamber include separate gas distribution assemblies and RF power sources to provide plasma at selective regions on a substrate surface in each processing region. Each twin process chamber is thereby configured to allow multiple, isolated processes to be performed concurrently on at least two substrates in the processing regions.
    Type: Application
    Filed: April 25, 2012
    Publication date: August 23, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Ashish Shah, Dale R. DuBois, Ganesh Balasubramanian, Mark A. Fodor, Eui Kyoon Kim, Chiu Chan, Karthik Janakiraman, Thomas Nowak, Joseph C. Werner, Visweswaren Sivaramakrishnan, Mohamad Ayoub, Amir Al-Bayati, Jianhua Zhou
  • Publication number: 20120211466
    Abstract: The following description relates to a plasma processing apparatus and a method thereof. The plasma processing apparatus comprises a first plasma chamber having a first plasma discharge space, a first plasma source for supplying a first activation energy to the first plasma discharge space within the first plasma chamber, a second plasma chamber which is connected to the first plasma chamber and has a second discharge space, and a second plasma source for supplying a second activation energy for inducing inductive coupled plasma to the second plasma discharge space within the second plasma chamber.
    Type: Application
    Filed: February 28, 2011
    Publication date: August 23, 2012
    Inventor: Dae-Kyu Choi
  • Publication number: 20120199288
    Abstract: A method and system of for introducing an active material to a chemical process in which a processing element including a passive component and an active element is installed within the system and exposed to a chemical process performed within the system. As the chemical process proceeds, the passive component erodes and thereby exposes the active component embedded therein. The introduction of the active component to the chemical process alters the chemical process.
    Type: Application
    Filed: April 20, 2012
    Publication date: August 9, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: John A. HUGHES, Sandra HYLAND, Ralph KIM
  • Patent number: 8236106
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein. Further, the shower head has a facing surface facing a mounting table for mounting thereon the substrate and serves to supply one or more gases through the facing surface toward the substrate. The shower head includes a central gas supply unit for supplying a first gas through a central portion of the facing surface toward the substrate, a peripheral gas supply unit for supplying a second gas through a peripheral portion of the facing surface toward the substrate and a gas exhaust unit, provided with a plurality of gas exhaust holes formed between the central gas supply unit and the peripheral gas supply unit, for exhausting the first and the second gas from the facing surface.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Fumiko Kiriishi, Tsuyoshi Komiyama
  • Patent number: 8236133
    Abstract: A gas distribution assembly for the ceiling of a plasma reactor includes a center fed hub and an equal path length distribution gas manifold underlying the center fed hub.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: August 7, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Dan Katz, David Palagashvili, Brian K. Hatcher, Theodoros Panagopoulos, Valentin N. Todorow, Edward P. Hammond, IV, Alexander M. Paterson, Rodolfo P. Belen
  • Patent number: 8237367
    Abstract: According to the plasma treatment on an object accommodated in the processing room, the plasma treatment is carried out as follows. The discharge detecting sensor detects a signal of potential change caused with change in plasma discharge. Receiving the signal, the signal recording section temporarily records the signal as signal data indicating potential change. Referencing the signal data, the signal analysis section extracts index data. The index data shows a condition of plasma discharge, for example, as a count value for discharge-start waves, a count value for abnormal discharge, a count value for feeble arc discharge. The device control section judges a condition of plasma discharge by monitoring the index data and carries out the retry process, the accumulative plasma process, and the maintenance judgment process for performing plasma treatment operations properly.
    Type: Grant
    Filed: November 27, 2008
    Date of Patent: August 7, 2012
    Assignee: Panasonic Corporation
    Inventors: Masaru Nonomura, Tatsuhiro Mizukami
  • Patent number: 8235062
    Abstract: Strategies for tool designs and their uses wherein the tools can operate in either closed or open modes of operation. The tools easily transition between open and closed modes on demand. According to one general strategy, environmentally controlled pathway(s) couple the ambient to one or more process chambers. Air amplification capabilities upstream from the process chamber(s) allow substantial flows of air to be introduced into the process chamber(s) on demand. Alternatively, the fluid pathways are easily closed, such as by simple valve actuation, to block egress to the ambient through these pathways. Alternative flows of nonambient fluids can then be introduced into the process chamber(s) via pathways that are at least partially in common with the pathways used for ambient air introduction. In other strategies, gap(s) between moveable components are sealed at least with flowing gas curtains rather than by relying only upon direct physical contact for sealing.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: August 7, 2012
    Assignee: FSI International, Inc.
    Inventors: Jeffrey M. Lauerhaas, Jimmy D. Collins, Tracy A. Gast, Alan D. Rose
  • Publication number: 20120192398
    Abstract: The invention described here relates to a gas injector for use in a semiconductor etching process or other processes involving aggressive gases or gas plasmas, and more particularly to a gas injector and gas conduits having extended usage life, and exhibiting less etching and particle generation with usage. In most semiconductor manufacturing processes for the etching of a semiconductor wafer, the uppermost portion of a wafer is selectively removed through holes formed in a photoresist layer in the processes' etching step. The etching process is carried out in a sealed chamber into which gases or gas plasmas such as, for example, CF4, CHF3, O2, NF3, He, and argon gas are injected. Commonly, a gas supplying device and a gas injector are required to provide the gas(es) to the reaction chambers and to exhaust the gas(es) from the chamber once the process is completed. In addition to being exposed to the gases, these components may be exposed to the plasma etch process.
    Type: Application
    Filed: August 4, 2011
    Publication date: August 2, 2012
    Inventor: Francis Vo
  • Publication number: 20120193323
    Abstract: A method for operating a substrate processing apparatus is provided which can contain generation of particles by generating plasma in a stable manner. After a substrate is disposed in an evacuated vacuum chamber, a rare gas is initially supplied into the vacuum chamber, a voltage is applied to a plasma generating means, and plasma of the rare gas is generated. Subsequently, a reaction gas is supplied into the vacuum chamber, the reaction gas is brought into contact with the plasma of the rare gas, and plasma of the reaction gas is generated. The plasma of the reaction gas is brought into contact with the substrate; and the substrate is processed. Plasma is stably generated not by turning the reaction gas into plasma but by first turning the rare gas into plasma by the plasma generating means, and generation of particles is subsequently suppressed.
    Type: Application
    Filed: March 8, 2012
    Publication date: August 2, 2012
    Applicant: ULVAC, INC.
    Inventors: Yutaka KOKAZE, Masahisa Ueda, Yoshiaki Yoshida
  • Patent number: 8231799
    Abstract: A plasma reactor for processing a workpiece such as a semiconductor wafer has a housing defining a process chamber, a workpiece support configured to support a workpiece within the chamber during processing and comprising a plasma bias power electrode. The reactor further includes plural gas sources containing different gas species, plural process gas inlets and an array of valves capable of coupling any of said plural gas sources to any of said plural process gas inlets. The reactor also includes a controller governing said array of valves and is programmed to change the flow rates of gases through said inlets over time. A ceiling plasma source power electrode of the reactor has plural gas injection zones coupled to the respective process gas inlets. In a preferred embodiment, the plural gas sources comprise supplies containing, respectively, fluorocarbon or fluorohydrocarbon species with respectively different ratios of carbon and fluorine chemistries.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 31, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Xiaoye Zhao, Kenny L. Doan, Ezra Robert Gold, Paul Lukas Brillhart, Bruno Geoffrion, Bryan Pu, Daniel J. Hoffman
  • Publication number: 20120190208
    Abstract: Uniformity of a plasma process on a surface of a substrate is to be improved. In a plasma processing apparatus that processes a substrate by generating plasma from a processing gas introduced in a processing container, a ratio between an introducing amount of the processing gas introduced to a center portion of the substrate received in the processing container and an introducing amount of the processing gas introduced to a peripheral portion of the substrate received in the processing container is changed during a plasma process. Accordingly, a variation in an etching rate or the like between the center portion and the peripheral portion of the substrate may be reduced. Therefore, uniformity of the plasma process on the surface of the substrate is improved.
    Type: Application
    Filed: August 10, 2010
    Publication date: July 26, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa Ozu, Naoki Matsumoto, Takashi Tsukamoto, Kazuto Takai
  • Publication number: 20120180954
    Abstract: Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.
    Type: Application
    Filed: October 3, 2011
    Publication date: July 19, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Jang-Gyoo Yang, Matthew L. Miller, Xinglong Chen, Kien N. Chuc, Qiwei Liang, Shankar Venkataraman, Dmitry Lubomirsky
  • Patent number: 8221581
    Abstract: A processing gas supply hole is constituted with a gas outlet hole formed at an electrode plate and a gas injection hole formed at a processing gas supply mechanism main unit. At the gas injection hole, a processing gas having flowed in on the upstream side is injected toward the gas outlet hole through an injection opening of a nozzle portion disposed on the downstream side, so as to generate a suction force at a suction flow passage formed around the nozzle portion by taking advantage of the ejector defect.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: July 17, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Publication number: 20120175343
    Abstract: An apparatus and method for etching a portion of a wafer include a mount for holding a wafer having an edge, a front surface, a back surface and an axis perpendicular to the front and back surfaces. A frame is used to deliver an etchant to the wafer edge while the wafer is held with the wafer edge at a distance from the frame. A nonreactive fluid flow may be provided and directed along the front and back surfaces of the wafer edge to drive the etchant away from the front and back surfaces. The frame can be configured either to deliver the etchant in liquid form or to deliver the etchant in vapor form. The frame can include a plenum for directing the etchant in vapor form to the wafer edge within a receiving area of the plenum, or the frame can include a roller having a groove for receiving the wafer edge and for drawing the etchant in liquid form to the wafer edge.
    Type: Application
    Filed: January 12, 2011
    Publication date: July 12, 2012
    Applicant: SILTRONIC CORPORATION
    Inventor: Randal Gieker
  • Patent number: 8216374
    Abstract: A gas coupler is capable of conducting gas between a gas component, gas source and substrate processing chamber. The gas coupler comprises a metal block comprising a gas component seating surface having a plurality of gas component coupling ports. The block also has a plurality of sidewalls at right angles to the gas component seating surface, each sidewall comprising a counterbored gas orifice. A plurality of right-angled internal passageways are each connected to a gas component coupling port. Each internal passageway terminates at counterbored gas orifice on a different sidewall surface so that each gas component coupling port is fluidly connected to a different sidewall.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: July 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Joel Huston, Jeffery Tobin, Christophe Marcadal
  • Patent number: 8216376
    Abstract: A method of combinatorially processing a substrate and combinatorial processing chamber are provided. The processing chamber includes opposing annular rings defining a conductance gap that extends radially outward. The opposing annular rings are configured to vary the conductance gap in-situ. The variation of the conductance gap is another parameter for processing regions of a substrate differently to evaluate the impact of the conductance variation on a deposition process.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Jay Dedontney, James Tsung
  • Publication number: 20120171870
    Abstract: Apparatus for treating wafers using a wafer carrier rotated about an axis is provided with a ring which surrounds the wafer carrier during operation. Treatment gasses directed onto a top surface of the carrier flow outwardly away from the axis over the carrier and over the ring, and pass downstream outside of the ring. The outwardly flowing gasses form a boundary over the carrier and ring. The ring helps to maintain a boundary layer of substantially uniform thickness over the carrier, which promotes uniform treatment of the wafers.
    Type: Application
    Filed: December 21, 2011
    Publication date: July 5, 2012
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Bojan Mitrovic, Guanghua Wei, Eric A. Armour, Ajit Paranjpe
  • Patent number: 8211232
    Abstract: A substrate processing apparatus that can reduce the number of parts. A first gas introduction hole through which the hydrogen fluoride gas is introduced into a GDP is formed in an upper lid. A second gas introduction hole through which hydrogen fluoride gas is introduced from a hydrogen fluoride gas source is formed in a processing vessel. When the upper lid engages the upper portion of the processing vessel, one end of the first gas introduction hole is joined with one end of the second gas introduction hole to form an introduction path through which the hydrogen fluoride gas is introduced into a chamber.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: July 3, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 8211231
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 3, 2012
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Patent number: 8211230
    Abstract: An atomic deposition (ALD) thin film deposition apparatus includes a deposition chamber configured to deposit a thin film on a wafer mounted within a space defined therein. The deposition chamber comprises a gas inlet that is in communication with the space. A gas system is configured to deliver gas to the gas inlet of the deposition chamber. At least a portion of the gas system is positioned above the deposition chamber. The gas system includes a mixer configured to mix a plurality of gas streams. A transfer member is in fluid communication with the mixer and the gas inlet. The transfer member comprising a pair of horizontally divergent walls configured to spread the gas in a horizontal direction before entering the gas inlet.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: July 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Mohith Verghese, Kyle Fondurulia, Carl White, Eric Shero, Darko Babic, Herbert Terhorst, Marko Peussa, Min Yan
  • Publication number: 20120160417
    Abstract: A gate valve useful for pumping a high-vacuum processing chamber. The valve housing includes a first port for attachment to the vacuum chamber and a second port on the opposed wall and aligned with the first port for the external mounting of a pneumatic or other actuator having a shaft supporting on its end a valve gate plate within the housing. An expandable bellows sealed between the gate plate and the actuator surrounds shaft. The actuator can press the valve plate against a valve seat around the first port to close the valve or withdraw the plate to the opposed wall to provide high pumping conductance. A third port in the housing disposed from the valve is connected to the high-vacuum pump. The gate plate may be water cooled through channels in the shaft. An auxiliary vacuum pump, such as a cryo pump, may be placed inside the valve housing.
    Type: Application
    Filed: November 17, 2011
    Publication date: June 28, 2012
    Inventor: Kenneth K L. Lee
  • Patent number: 8206506
    Abstract: A showerhead electrode includes inner and outer steps at an outer periphery thereof, the outer step cooperating with a clamp ring which mechanically attaches the electrode to a backing plate.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: June 26, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Publication number: 20120156886
    Abstract: Production efficiency of a substrate (in particular, a substrate on which a SiC epitaxial film is formed) is improved and formation of the film inside a gas supply port is suppressed. This is accomplished by a substrate processing apparatus including a reaction chamber configured to accommodate a plurality of substrates 14, a heating part installed to surround the reaction chamber and configured to heat the reaction chamber, and a first gas supply pipe 60 extending in the reaction chamber, wherein the first gas supply pipe 60 includes a first gas supply port 68 configured to inject a first gas toward the plurality of substrates 14, and first shielding walls installed at both sides of the first gas supply port to expose the first gas supply port 68, the first shielding walls extending toward the plurality of substrates 14 from the first gas supply port 68.
    Type: Application
    Filed: December 20, 2011
    Publication date: June 21, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kenji Shirako, Masanao Fukuda, Takafumi Sasaki, Yoshinori Imai, Daisuke Hara, Shuhei Saido, Koei Kuribayashi
  • Publication number: 20120152900
    Abstract: Methods and apparatus for gas delivery into plasma processing chambers are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber having a processing volume, a substrate support disposed in the processing volume, an inductively coupled plasma source to generate an electric field within the processing volume that includes one or more regions of local maxima in the magnitude of the electric field, and one or more gas injectors to selectively direct a predominant portion of a process gas flowed through the one or more gas injectors into the one or more regions of local maxima.
    Type: Application
    Filed: November 29, 2011
    Publication date: June 21, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ROBERT P. CHEBI, STANLEY DETMAR, ALAN CHESHIRE, GABRIEL ROUPILLARD, ALFREDO GRANADOS
  • Patent number: 8202575
    Abstract: Vapor deposition systems and methods associated with the same are provided. The systems may be designed to include features that can promote high quality deposition; simplify manufacture, modification and use; as well as, reduce the footprint of the system, amongst other advantages.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: June 19, 2012
    Assignee: Cambridge NanoTech, Inc.
    Inventors: Douwe J. Monsma, Jill S. Becker
  • Publication number: 20120145325
    Abstract: A plasma apparatus including a chamber, an electrode set and a gas supplying tube set is provided. The chamber has a supporting table for supporting a substrate. The gas supplying tube set is disposed in the chamber and has a plurality of gas apertures. The gas supplying tube set is located between the supporting table and the electrode set.
    Type: Application
    Filed: March 10, 2011
    Publication date: June 14, 2012
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Hui-Ta Chen, Chun-Hao Chang, Tung-Ying Lin, Ming-Hsien Ko
  • Patent number: 8197636
    Abstract: Embodiments described herein relate to a substrate processing system that integrates substrate edge processing capabilities. Illustrated examples of the processing system include, without limitations, a factory interface, a loadlock chamber, a transfer chamber, and one or more twin process chambers having two or more processing regions that are isolatable from each other and share a common gas supply and a common exhaust pump. The processing regions in each twin process chamber include separate gas distribution assemblies and RF power sources to provide plasma at selective regions on a substrate surface in each processing region. Each twin process chamber is thereby configured to allow multiple, isolated processes to be performed concurrently on at least two substrates in the processing regions.
    Type: Grant
    Filed: April 21, 2008
    Date of Patent: June 12, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Ashish Shah, Dale R. DuBois, Ganesh Balasubramanian, Mark A. Fodor, Eui Kyoon Kim, Chiu Chan, Karthik Janakiraman, Thomas Nowak, Joseph C. Werner, Visweswaren Sivaramakrishnan, Mohamad Ayoub, Amir Al-Bayati, Jianhua Zhou
  • Patent number: 8197704
    Abstract: The invention provides a plasma processing apparatus and a method for purging the apparatus, capable of preventing damage of components caused by pressure difference during purging operation of a vacuum reactor, and capable of preventing residual processing gas from remaining in the vacuum reactor. Inert gas is introduced through an inert gas feed port 233 on a side wall of a depressurized processing chamber (V1) 226 of a plasma processing apparatus, and the interior of the processing chamber (V1) 226 is brought to predetermined pressure by the inert gas, and thereafter, the inert gas is supplied to processing gas supply paths 213 and 216 (V2) communicated to a plurality of through holes 224 for introducing processing gas, so as to introduce the inert gas through the plurality of through holes 224 into the processing chamber (V1) 226.
    Type: Grant
    Filed: March 4, 2009
    Date of Patent: June 12, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takahisa Hashimoto, Hideki Kihara, Muneo Furuse
  • Patent number: 8197599
    Abstract: A gas head that, at low cost, is capable of suppressing any deactivation of radical gas and capable of uniformly introducing a raw material gas on a substrate; and a relevant thin-film manufacturing apparatus are provided. A gas head (13) according to the present invention includes a reactive gas introduction port (30A) for introduction of a reactive gas, a plurality of raw material gas introduction ports (30B) for introduction of a raw material gas, and a dispersion board (32) for dispersing the raw material gas, wherein the plurality of the raw material gas introduction ports (30B) are disposed so as to surround the periphery of the reactive gas introduction port (30A). The reactive gas having been introduced in the reactive gas introduction port (30A) is mixed with the raw material gas having been introduced through a plurality of raw material gas introduction ports (30B) and dispersed by means of the dispersion board (32).
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: June 12, 2012
    Assignee: Ulvac, Inc.
    Inventors: Takakazu Yamada, Nobuyuki Kato, Masaki Uematsu
  • Publication number: 20120138229
    Abstract: The invention provides a plasma processing apparatus having a means for generating a plasma capable of correcting the eccentricity of the plasma diffused above the wafer caused by magnetic field or by vacuum eccentricity, comprising a vacuum processing chamber in which a sample is processed via plasma, a gas supply means for supplying gas into the vacuum processing chamber, a sample stage disposed within the vacuum processing chamber on which the sample is placed, an induction coil disposed outside the vacuum processing chamber, a radio frequency power supply for supplying radio frequency power to the induction coil, a Faraday shield being capacitively coupled with the plasma, and an eccentricity correction means disposed between the induction coil and a dielectric sealing window constituting an upper surface of the vacuum processing chamber, wherein the eccentricity correction means generates a plasma capable of correcting the eccentricity of the plasma.
    Type: Application
    Filed: February 4, 2011
    Publication date: June 7, 2012
    Inventors: Yusaku SAKKA, Ryoji NISHIO, Tadayoshi KAWAGUCHI
  • Publication number: 20120132366
    Abstract: A plasma processing apparatus is disclosed, which includes: a cathode module comprising a plurality of first channels which generate plasma; an anode having a chamber which contains the cathode and having at least one plasma outlet corresponding to the first channels; an electrode connected to a high-frequency electrical power and the cathode; and a plurality of second channels penetrating through the anode; wherein each first channel and each second channel are disposed alternately. A first gas is introduced into the first channels ionized under high frequency electrical power. In the first channels, the free electrons collided brings high density of plasma. The generated plasma is expelled through the plasma outlet to form a plasma diffusion region. A second gas is introduced into the plasma diffusion region through the second channels to take part in the reaction of plasma.
    Type: Application
    Filed: June 10, 2011
    Publication date: May 31, 2012
    Applicant: Industrial Technology Research Institute
    Inventors: Pei-Shan Wu, Fu-Ching Tung, Jung-Chen Ho, Tean-Mu Shen, Chia-Ming Chen
  • Publication number: 20120132367
    Abstract: There is provided a processing apparatus including a processing gas discharge unit provided within a processing chamber so as to face a mounting table and configured to discharge a processing gas into the processing chamber; a first space corresponding to a central portion of a processing target object; a second space corresponding to an edge portion of the processing target object; at least one third space formed between the first space and the second space; and a processing gas distribution unit including processing gas distribution pipes and valves. The spaces are provided within the processing gas discharge unit and partitioned by partition walls. At the spaces, there are formed discharge holes for discharging the processing gas. The processing gas distribution pipes communicate with the spaces, and the valves are opened or closed to allow adjacent processing gas distribution pipes to communicate with each other or be isolated from each other.
    Type: Application
    Filed: November 23, 2011
    Publication date: May 31, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuyuki Tezuka, Kenichi Kato, Atsushi Sawachi, Takamichi Kikuchi, Takanori Mimura
  • Publication number: 20120125891
    Abstract: Provided are a plasma processing apparatus and a plasma processing method wherein particles generated due to the inner potential of an inner cylinder disposed inside of a vacuum container are reduced. The plasma processing apparatus has, inside of a metal vacuum chamber (11), the inner cylinder (15) composed of a surface-alumited aluminum, disposes a substrate in a plasma diffusion region, and performs plasma processing. A plurality of protruding portions (15a) in point-contact with the vacuum chamber (11) are provided on the lower end portion of the inner cylinder (15), the alumite film (16) on the leading end portion (15b) of each of the protruding portion (15a) is removed, and the inner cylinder and the vacuum chamber (11) are electrically connected to each other.
    Type: Application
    Filed: May 24, 2010
    Publication date: May 24, 2012
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Ryuichi Matsuda, Kazuto Yoshida, Yuichi Kawano
  • Publication number: 20120115314
    Abstract: Disclosed is a plasma processing apparatus, wherein a plasma-generating electrode has a plurality of gas exhaust holes which run through the plasma-generating electrode from the surface facing a substrate held by a substrate-holding mechanism, and reach a gas exhaust chamber; gas-feeding pipes, provided connected to a gas-introducing pipe, have gas-feeding ports for discharging source gas toward the inside of the plurality of gas exhaust holes; and the gas-feeding pipes and the gas-feeding ports are arranged in a manner such that extended lines, representing the direction of the flow of the source gas discharged from the gas-feeding ports, intersect the end surface open regions at the interface of the gas exhaust chamber to the gas exhaust holes. Also disclosed is a method of producing the amorphous silicon thin film using the plasma processing apparatus.
    Type: Application
    Filed: March 15, 2010
    Publication date: May 10, 2012
    Applicant: Toray Industries, Inc,
    Inventors: Keitaro Sakamoto, Fumiyasu Momura, Tsunenori Komori
  • Publication number: 20120111500
    Abstract: The plasma processing apparatus includes: a processing chamber an inside of which is airtightly closable; a process gas supplying mechanism which supplies a process gas into the processing chamber; an exhaust mechanism which evacuates the inside of the processing chamber; a plasma generating mechanism which generates plasma from the process gas; a holding stage which is provided in the processing chamber and configured such that a substrate to be processed and a focus ring provided to surround the substrate to be processed are held on a same plane; a temperature control mechanism which adjusts a temperature of the holding stage; and an electrostatic chuck which is provided on a top surface of the holding stage and comprises an adsorbing electrode extending to a portion under the focus ring.
    Type: Application
    Filed: November 7, 2011
    Publication date: May 10, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki NAGAYAMA, Eiichiro KIKUCHI
  • Patent number: 8172948
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A fluorine-containing conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Fluorine is removed from the conformal layer, while the remaining conformal layer is left in place. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: May 8, 2012
    Assignee: Lam Research Corporation
    Inventors: Dongho Heo, Jisoo Kim, S. M. Reza Sadjadi
  • Patent number: 8166914
    Abstract: A plasma processing apparatus of the batch type includes a tubular process container having a closed end and an open end opposite to each other, and a process field for accommodating target substrates, the process container including a tubular insulating body. The apparatus further includes a holder configured to hold the target substrates at intervals, a loading mechanism configured to load and unload the holder into and from the process container, and a lid member connected to the loading mechanism and configured to airtightly close the open end. A first electrode is disposed at the closed end of the process container, and a second electrode is disposed at the lid member, to constitute a pair of parallel-plate electrodes. An RF power supply is connected to one of the first and second electrodes and configured to apply an RF power for plasma generation.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Toshiki Takahashi
  • Patent number: 8168001
    Abstract: Film-forming apparatus including a film-forming vacuum chamber having a stage for a substrate, a chamber for mixing gas comprising a raw gas and a reactive gas connected to the film-forming chamber, a chamber for vaporizing the raw material, and a gas head for introducing the mixed gas into the film-forming chamber, disposed on the upper face of the film-forming chamber and opposed to the stage. Particle traps with controllable temperatures are positioned between the vaporization chamber and the mixing chamber and on the downstream side of the mixing chamber. When forming a thin film with the apparatus, a reactive gas and/or a carrier gas are passed through the film-forming chamber while opening a valve in a by-pass line, connecting the primary side to the secondary side of the particle trap arranged at the downstream side of the mixing chamber. The valve is then closed and the film-forming operation is initiated.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: May 1, 2012
    Assignee: Ulvac, Inc.
    Inventors: Hiroto Uchida, Takehito Jinbo, Takeshi Masuda, Masahiko Kajinuma, Takakazu Yamada, Masaki Uematsu, Koukou Suu, Isao Kimura