Showerhead-type Patents (Class 156/345.34)
  • Publication number: 20140065827
    Abstract: A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber. The gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber such that the etching gas in the plenum can be replaced with the deposition gas.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 6, 2014
    Applicant: Lam Research Corporation
    Inventors: Michael Kang, Alex Paterson, Ian J. Kenworthy
  • Patent number: 8663424
    Abstract: There is provided a plasma processing apparatus capable of performing a plasma process while surely supplying a gas. The plasma processing apparatus includes an outer gas supply member having gas supply openings for supplying a plasma processing gas and a jacket configured to support the outer gas supply member within a processing chamber and serving as a gas supply member supporting device. The jacket includes three supporting members installed so as to connect the outer gas supply member and a sidewall and arranged at a certain distance in a direction in which the outer gas supply member extends and mounts fixed to the sidewall so as to mount the supporting members therein. The supporting members include a first supporting member fixed to a first mount and a second supporting member movably supported in a second mount.
    Type: Grant
    Filed: May 25, 2011
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Mihara, Kenji Sudou, Kazuo Murakami, Satoshi Furukawa
  • Publication number: 20140053866
    Abstract: A cleaning method for a UV chamber involves providing a first cleaning gas, a second cleaning gas, and a purge gas to one or more openings in the chamber. The first cleaning gas may be an oxygen containing gas, such as ozone, to remove carbon residues. The second cleaning gas may be a remote plasma of NF3 and O2 to remove silicon residues. The UV chamber may have two UV transparent showerheads, which together with a UV window in the chamber lid, define a gas volume proximate the UV window and a distribution volume below the gas volume. A purge gas may be flowed through the gas volume while one or more of the cleaning gases is flowed into the distribution volume to prevent the cleaning gases from impinging on the UV transparent window.
    Type: Application
    Filed: August 19, 2013
    Publication date: February 27, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Sanjeev BALUJA, Alexandros T. DEMOS, Kelvin CHAN, Juan Carlos ROCHA-ALVAREZ, Scott A. HENDRICKSON, Abhijit KANGUDE, Inna TUREVSKY, Mahendra CHHABRA, Thomas NOWAK, Daping YAO, Bo XIE, Daemian RAJ
  • Patent number: 8652296
    Abstract: A side gas injector for a plasma reaction chamber is provided. The side gas injector includes a circular distribution plate and a cover plate. The circular distribution plate includes an injection hole for injecting a reaction gas and a distribution channel part for distributing the reaction gas such that the reaction gas introduced from the injection hole can be radially simultaneously jetted in a plurality of positions along an inner circumference surface of the distribution plate. The cover plate is coupled to a top of the distribution plate and seals a top of the distribution channel part.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: February 18, 2014
    Assignee: DMS Co., Ltd.
    Inventors: Minshik Kim, Sungyong Ko, Hwankook Chae, Kunjoo Park, Keehyun Kim, Weonmook Lee
  • Publication number: 20140042123
    Abstract: A plasma processing apparatus which can improve density uniformity of plasma excited by a high frequency wave (such as in the VHF frequency band) for a substrate having a large size. The plasma processing apparatus includes a waveguide member defining a waveguide, a coaxial tube supplying electromagnetic energy from a predetermined power supply position in the longitudinal direction of the waveguide into the waveguide, and a plurality of electrodes for electric field formation, to which the electromagnetic energy is supplied through the waveguide and which is disposed so as to face a plasma formation space, the plurality of electrodes are being arranged in the longitudinal direction of the waveguide, and each of the plurality of electrodes extends in the width direction of the waveguide.
    Type: Application
    Filed: February 23, 2012
    Publication date: February 13, 2014
    Applicant: TOHOKU UNIVERSITY
    Inventor: Masaki Hirayama
  • Patent number: 8642481
    Abstract: A method of etching exposed silicon-and-nitrogen-containing material on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and an oxygen-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the exposed regions of silicon-and-nitrogen-containing material. The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon-and-nitrogen-containing material from the exposed silicon-and-nitrogen-containing material regions while very slowly removing other exposed materials. The silicon-and-nitrogen-containing material selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region. The ion suppression element reduces or substantially eliminates the number of ionically-charged species that reach the substrate.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: February 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Yunyu Wang, Anchuan Wang, Jingchun Zhang, Nitin K. Ingle, Young S. Lee
  • Patent number: 8636847
    Abstract: A flow inlet element (22) for a chemical vapor deposition reactor (10) is formed from a plurality of elongated tubular elements (64, 65) extending side-by-side with one another in a plane transverse to the upstream to downstream direction of the reactor. The tubular elements have inlets for ejecting gas in the downstream direction. A wafer carrier (14) rotates around an upstream to downstream axis. The gas distribution elements may provide a pattern of gas distribution which is asymmetrical with respect to a medial plane (108) extending through the axis.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: January 28, 2014
    Assignee: Veeco Instruments Inc.
    Inventors: Mikhail Belousov, Bojan Mitrovic, Keng Moy
  • Publication number: 20140020834
    Abstract: Embodiments of the invention provide a method and apparatus, such as a processing chamber, suitable for etching high aspect ratio features. Other embodiments include a showerhead assembly for use in the processing chamber. In one embodiment, a processing chamber includes a chamber body having a showerhead assembly and substrate support disposed therein. The showerhead assembly includes at least two fluidly isolated plenums, a region transmissive to an optical metrology signal, and a plurality of gas passages formed through the showerhead assembly fluidly coupling the plenums to the interior volume of the chamber body.
    Type: Application
    Filed: May 3, 2013
    Publication date: January 23, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Xiaoping ZHOU, Jeffrey W. DIETZ
  • Patent number: 8632634
    Abstract: In a coating apparatus, a distributor plate 104 is disposed upstream of a silicon wafer 101 relative to the direction of flow of reactive gas. The distributor plate 104 has therein first through-holes 104a and second through-holes 104b arranged so as not to meet the first through-holes 104a. The reactive gas passes through the first through-holes 104a and flows down toward the silicon wafer 101. Further, a cooling gas passes through the second through-holes 104b.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: January 21, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Yoshikazu Moriyama, Kunihiko Suzuki, Hironobu Hirata
  • Patent number: 8631762
    Abstract: A plasma CVD apparatus includes: a film forming chamber; a holding member that holds a substrate to be processed that is set in the film forming chamber; a shower head that is set in the film forming chamber to face the holding member, and supplies raw material gas and generates a plasma of the raw material gas; a radical generation chamber that is set at an opposite side of the shower head relative to the holding member and generates radicals of process gas; and an openable and closable shutter that is provided between the shower head and the radical generation chamber.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: January 21, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventors: Mikio Yamamuka, Tae Orita, Hiroya Yamarin
  • Patent number: 8623172
    Abstract: A substrate processing apparatus includes: a depressurizable processing chamber 11; a shaft 26 supporting a facing electrode 24 provided within the processing chamber 11 while allowing the facing electrode 24 to be movable with respect to a mounting electrode 12; a first ring-shaped bellows 31 concentrically installed at an outer peripheral portion of the shaft 26; and a second bellows 32 concentrically installed at an outer peripheral portion of the first bellows 31. The first bellows 31 absorbs a displacement of the facing electrode 24 with respect to a wall surface 13 at a penetration portion where the shaft 26 penetrates the wall surface 13 of the processing chamber 11, and seals the inside of the processing chamber 11 against the ambient atmosphere around the shaft 26. A ring-shaped gas flow path 35 is formed by the first bellows 31 and the second bellows 32.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 8617347
    Abstract: A method and apparatus for vacuum processing of a workpiece, the apparatus including a flow equalizer disposed in a vacuum processing chamber between a workpiece support pedestal and a pump port located in a wall of the vacuum processing chamber. In an embodiment, the flow equalizer has a first annular surface concentric about the workpiece support pedestal to provide conductance symmetry about the workpiece support even when the pump port is asymmetrically positioned within the vacuum processing chamber. In an embodiment, the flow equalizer has a second annular surface facing a lower surface of the workpiece support pedestal to restrict conductance as the flow equalizer is moved is response to a chamber pressure control signal. In an embodiment, the apparatus for vacuum processing of a workpiece includes tandem vacuum processing chambers sharing a vacuum pump with each tandem chamber including a flow equalizer to reduce cross-talk between the tandem chambers.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jisoo Kim, Thorsten B. Lill
  • Patent number: 8617349
    Abstract: A showerhead for a plasma process apparatus for processing substrates, comprising a showerhead body comprising a top plate and a bottom plate defining a cavity in between; a gas inlet formed in the top plate; a perforated plate positioned between the top plate and the bottom plate and dissecting the cavity into an upper gas compartment and a lower gas compartment; and, wherein the bottom plate comprises a plurality of elongated diffusion slots on its lower surface and a plurality of diffusion holes on its upper surface, each of the diffusion holes making fluid connection from the lower gas compartment to more than one of the diffusion slots.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: December 31, 2013
    Assignee: Orbotech LT Solar, LLC.
    Inventors: Kam S. Law, Masato Toshima, Wendell Thomas Blonigan, Linh Can, Robin K. F. Law
  • Patent number: 8617350
    Abstract: The invention relates to a linear plasma system. The linear plasma system includes a number of troughs of an electrode alternating with a number of peaks of the electrode forming a sawtooth shape, a reactive gas feed, a precursor gas feed, and a power source. The reactive gas feed is disposed on the electrode and configured to continuously release a reactive gas into an array of holes located at the trough apex. The precursor gas feed is disposed on the electrode and configured to continuously release a precursor gas into an array of holes located at the peak apex. The power source is configured to apply radio frequency power to the electrode to simultaneously interact with the reactive gas mixed with the precursor gas to generate plasma, which is used to create a product that is deposited on a substrate.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: December 31, 2013
    Assignee: Belight Technology Corporation, Limited
    Inventor: Quanyuan T. Shang
  • Patent number: 8608852
    Abstract: Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: December 17, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Chetan Mahadeswaraswamy, Kallol Bera, Larry D. Elizaga
  • Patent number: 8603292
    Abstract: A five-sided quartz window configured to be mounted on a degas chamber as a UV-transmissive window. The quartz window is made of synthetic quartz and has a uniform thickness. The shape of the quartz window is defined by an upper surface, a lower surface and a sidewall therebetween. The sidewall has five straight sections interconnected by five arcuate sections. The quartz window has four arcuate recesses extending into the sidewall.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: December 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Jason Augustino, Tim Hart
  • Patent number: 8604697
    Abstract: An apparatus for generating plasma is provided. The apparatus may include a vacuum chamber and a plasma source part. The plasma source part may include a dielectric part, an upper electrode, and an inductive coil. The dielectric part may be installed to protrude upward along a circumference of a through-hole provided at a top of the vacuum chamber. The upper electrode may be coupled to seal an opened top of the dielectric part. The inductive coil may spirally extend along an outer circumference surface of the dielectric part.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: December 10, 2013
    Assignee: Jehara Corporation
    Inventor: Hongseub Kim
  • Publication number: 20130323860
    Abstract: A semiconductor substrate support for use in a plasma processing apparatus comprises a chuck body having a plenum and three radially extending bores extending between the plenum and an outer periphery of the chuck body, wherein the chuck body is sized to support a semiconductor substrate having a diameter of at least 450 mm. The semiconductor substrate support further comprises three tubular support arms which include a first section extending radially outward from the outer periphery of the chuck body, and a second section extending vertically from the first section. The tubular support arms provide a passage therethrough which communicates with a respective bore in the chuck body. The second section of each tubular support aim is configured to engage with a respective actuation mechanism outside the chamber operable to effect vertical translation and planarization of the chuck body in the interior of a plasma processing chamber.
    Type: Application
    Filed: May 31, 2012
    Publication date: December 5, 2013
    Applicant: Lam Research Corporation
    Inventors: Jerrel Kent Antolik, Yen-kun Victor Wang, John Holland
  • Patent number: 8597462
    Abstract: A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: December 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Danny Brown, Leonard Sharpless
  • Patent number: 8591655
    Abstract: A thin film-forming apparatus, for ensuring uniform plane distribution of properties of a film formed on a substrate surface, has a gas-supply port 24a supplying a gas mixture from a gas-mixing chamber 24 to a shower head 25. The port is arranged at the peripheral portion on the bottom face of the gas-mixing chamber so that the gas mixture flows from the upper peripheral region of the head towards the center thereof. An exhaust port 32 discharging the exhaust gas generated in the film-forming chamber 3 is arranged at a position lower than the level of a stage 31 during film-formation directing the exhaust gas towards the side wall of the chamber 3 and discharging the exhaust gas through the exhaust port. The stage 31 is designed to move freely up and down to adjust the distance between the shower head 25 and substrate S.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: November 26, 2013
    Assignee: Ulvac, Inc.
    Inventors: Takeshi Masuda, Masahiko Kajinuma, Takakazu Yamada, Hiroto Uchida, Masaki Uematsu, Koukou Suu
  • Patent number: 8580076
    Abstract: A plasma apparatus, various components of the plasma apparatus, and an oxygen free and nitrogen free processes for effectively removing photoresist material and post etch residues from a substrate with a carbon and/or hydrogen containing low k dielectric layer(s).
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: November 12, 2013
    Assignee: LAM Research Corporation
    Inventors: Alan Frederick Becknell, Thomas James Buckley, David Ferris, Richard E. Pingree, Jr., Palanikumaran Sakthivel, Aseem Kumar Srivastava, Carlo Waldfried
  • Patent number: 8574445
    Abstract: Provided are a method for generating hollow cathode plasma and a method for treating a large area substrate using the hollow cathode plasma. In the methods, the hollow cathode plasma is generated by a gas introduced between a hollow cathode in which a plurality of lower grooves where plasma is generated is defined in a bottom surface thereof and a baffle in which a plurality of injection holes is defined. A substrate disposed on a substrate support member is treated using the hollow cathode plasma passing through the injection holes. The uniform plasma having high density can be generated by hollow cathode effect due to the hollow cathode having the lower grooves and the injection holes of the baffle. Also, since the substrate can be treated using a hydrogen gas and a nitrogen gas in an ashing process, a damage of a low dielectric constant dielectric can be minimized.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: November 5, 2013
    Assignee: PSK Inc.
    Inventors: Jeonghee Cho, Jong Ryang Joo, Shinkeun Park
  • Publication number: 20130284373
    Abstract: To manufacture a ceramic coated article, at least one surface of a conductive article is roughened to a roughness of approximately 100 micro-inches (?in) to approximately 300 ?in. The conductive article may then be heated and coated with a ceramic coating comprising a yttrium containing oxide to a thickness of approximately 10-40 mil.
    Type: Application
    Filed: November 28, 2012
    Publication date: October 31, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jennifer Y. Sun, Biraja P. Kanungo, Ren-Guan Duan, Hamid Noobakhsh, Junhan Yuh, Dmitry Lubomirsky
  • Publication number: 20130284371
    Abstract: A plasma processing apparatus includes a process container configured to accommodate a target substrate and to be vacuum-exhausted. A first electrode and a second electrode are disposed opposite each other within the process container. The first electrode includes an outer portion and an inner portion both facing the second electrode such that the outer portion surrounds the inner portion. An RF power supply is configured to apply an RF power to the outer portion of the first electrode. A DC power supply is configured to apply a DC voltage to the inner portion of the first electrode. A process gas supply unit is configured to supply a process gas into the process container, wherein plasma of the process gas is generated between the first electrode and the second electrode.
    Type: Application
    Filed: July 1, 2013
    Publication date: October 31, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki MATSUMOTO, Chishio Koshimizu, Akira Koshiishi
  • Patent number: 8568555
    Abstract: A method and apparatus for treating a substrate in a processing system. The processing system includes a process chamber having a pumping system configured to evacuate the process chamber, a substrate holder coupled to the process chamber and configured to support the substrate and heat the substrate, and a process gas delivery system coupled to the process chamber and configured to introduce a process gas to a process space above an upper surface of the substrate. Furthermore, the process chamber includes one or more apparatus surfaces in radiative communication with the upper surface of the substrate and having a low emissivity and/or high reflectivity.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: October 29, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Mirko Vukovic
  • Publication number: 20130276983
    Abstract: A plasma processing apparatus may include a process chamber configured to perform a plasma using process and contain a plurality of substrates, a support member provided in the process chamber, the substrates being laid on the same level of the support member, an injection member provided to face the support member and include a plurality of baffles, such that at least one reaction gas and a purge gas can be injected onto the substrates in an independent manner, and a driving part configured to rotate the support member or the injection member, such that the baffles of the injection member can orbit with respect to the plurality of the substrates laid on the support member. The injection member may include a plasma generator, which may be provided on at least one, configured to inject the reaction gas, of the baffles to turn the reaction gas into plasma.
    Type: Application
    Filed: January 12, 2012
    Publication date: October 24, 2013
    Applicants: HITACHI KOKUSAI ELECTRIC INC., KOOKJE ELECTRIC KOREA CO., LTD.
    Inventors: Yong Sung Park, Sung Kwang Lee, Dong Yeul Kim, Kazuyuki Toyoda, Osamu Kasahara, Tetsuaki Inada
  • Publication number: 20130276982
    Abstract: A substrate processing apparatus capable of preventing the abnormal discharge from being generated on a substrate. A housing chamber houses the substrate. A mounting stage arranged in the housing chamber, is configured to enable the substrate to be mounted thereon. A disc-like electrode structure is connected to a high-frequency power supply, and connected to a gas supply apparatus via at least one gas supply system. The electrode structure has therein at least one buffer chamber and a plurality of connecting sections connected to the gas supply system. The buffer chamber is communicated with the inside of the housing chamber via a number of gas holes, and is communicated with the gas supply system via the plurality of connecting sections. The plurality of connecting sections for the buffer chamber are arranged on the circumference of a circle centering around the center of the electrode structure at equal intervals.
    Type: Application
    Filed: June 20, 2013
    Publication date: October 24, 2013
    Inventor: Tatsuya HANDA
  • Patent number: 8562742
    Abstract: Apparatus for the delivery of a gas to a chamber and methods of use thereof are provided herein. In some embodiments, a gas distribution system for a process chamber may include a body having a first surface configured to couple the body to an interior surface of a process chamber, the body having a opening disposed through the body; a flange disposed proximate a first end of the opening opposite the first surface of the body, the flange extending inwardly into the opening and configured to support a window thereon; and a plurality of gas distribution channels disposed within the body and fluidly coupling a channel disposed within the body and around the opening to a plurality of holes disposed in the flange, wherein the plurality of holes are disposed radially about the flange.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: October 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jared Ahmad Lee, Martin Jeff Salinas, Ankur Agarwal, Ezra Robert Gold, James P. Cruse, Aniruddha Pal, Andrew Nguyen
  • Patent number: 8562785
    Abstract: A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The upper plate can include eight radially extending gas passages evenly spaced around the periphery of the upper plate and the lower plate can include inner and outer rows of gas holes. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: October 22, 2013
    Assignee: Lam Research Corporation
    Inventors: Michael Kang, Alex Paterson, Ian J. Kenworthy
  • Publication number: 20130269876
    Abstract: An apparatus for fabricating a semiconductor device includes a chamber, a processing part inside the chamber, a gas injection pipe connected to the chamber, a gas pumping pipe connected to the chamber, and a baffle assembly embedded in a chamber wall, and the baffle assembly includes a baffle plate having baffle holes, and a baffle guide surrounding an outer surface of the baffle plate.
    Type: Application
    Filed: March 12, 2013
    Publication date: October 17, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ik-Soo KIM, Ho-Gon KIM, Yong-Taek HONG, Kyoung-Hwan KIM, Hee-Seok KIM, Sung-Ho HAN
  • Patent number: 8551288
    Abstract: In an apparatus and method for removing a photoresist structure from a substrate, a chamber for receiving the substrate includes a showerhead for uniformly distributing a mixture of water vapor and ozone gas onto the substrate. The showerhead includes a first space having walls and configured to receive the water vapor, and a second space connected to the first space so that the water vapor is supplied to and partially condensed into liquid water on one or more walls of the first space. Ozone gas and water vapor without liquid water may be supplied to the second space to form the mixture therein. The showerhead may be heated to vaporize the liquid water on a given surface of the first space.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Gi Kim, In-Seak Hwang, Dae-Hyuk Chung, Kyoung-Hwan Kim
  • Patent number: 8551890
    Abstract: A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Goodlin, Qidu Jiang
  • Patent number: 8551248
    Abstract: A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Goodlin, Qidu Jiang
  • Patent number: 8539908
    Abstract: A film forming apparatus includes a processing chamber, and a mounting table disposed in the processing chamber to mount a substrate thereon. The film forming apparatus further includes a gas shower head having gas supply holes and including a central region facing a central portion of the substrate and a peripheral region facing a peripheral portion of the substrate, a first processing gas supply unit for supplying a first processing gas to the central region, a second processing gas supply unit for supplying a second processing gas to the central region, an energy supply unit for supplying energy to react the first processing gas with the second processing gas on the substrate, and a purge gas supply unit for supplying a purge gas to the central region and the peripheral region when one of the first and the second processing gas is switched by the other.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: September 24, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Patent number: 8536071
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Gregory R. Bettencourt, Gautam Bhattacharyya, Simon Gosselin, Sandy Chao
  • Patent number: 8528498
    Abstract: An integrated steerability array arrangement for managing plasma uniformity within a plasma processing environment to facilitate processing of a substrate is provided. The arrangement includes an array of electrical elements. The arrangement also includes an array of gas injectors, wherein the array of electrical elements and the array of gas injectors are arranged to create a plurality of plasma regions, each plasma region of the plurality of plasma regions being substantially similar. The arrangement further includes an array of pumps, wherein individual one of the array of pumps being interspersed among the array of electrical elements and the array of gas injectors. The array of pumps is configured to facilitate local removal of gas exhaust to maintain a uniform plasma region within the plasma processing environment.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: September 10, 2013
    Assignee: Lam Research Corporation
    Inventor: Neil Benjamin
  • Patent number: 8529730
    Abstract: In a plasma processing apparatus including a vacuum-evacuable processing chamber, a first lower electrode for supporting a substrate to be processed thereon is disposed in the processing chamber and an upper electrode is disposed above the first lower electrode to face the first lower electrode. Further, a second lower electrode is disposed under the first lower electrode while being electrically isolated from the first lower electrode. A processing gas supply unit supplies a processing gas into a space between the upper electrode and the first lower electrode. A first high frequency power supply unit applies a first high frequency power of a first frequency to the first lower electrode, and a second high frequency power supply unit applies a second high frequency power of a second frequency higher than the first frequency to the second lower electrode.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: September 10, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yohei Yamazawa
  • Patent number: 8512510
    Abstract: A plasma processing method is arranged to supply a predetermined process gas into a plasma generation space in which a target substrate is placed, and turn the process gas into plasma. The substrate is subjected to a predetermined plasma process by this plasma. The spatial distribution of density of the plasma and the spatial distribution of density of radicals in the plasma are controlled independently of each other relative to the substrate by a facing portion opposite the substrate to form a predetermined process state over the entire target surface of the substrate.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: August 20, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Jun Hirose, Masahiro Ogasawara, Taichi Hirano, Hiromitsu Sasaki, Tetsuo Yoshida, Michishige Saito, Hiroyuki Ishihara, Jun Ooyabu, Kohji Numata
  • Patent number: 8512509
    Abstract: In a showerhead assembly, a path splitting manifold comprises a gas supply inlet and a planar floor and plural gas outlets extending axially through the floor and azimuthally distributed about the floor. The path splitting manifold further comprises a plurality of channels comprising plural paths between the inlet and respective ones of the plural outlets. A gas distribution showerhead underlies the floor of the manifold and is open to the plural outlets. In certain embodiments, the plural paths are of equal lengths.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: August 20, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Shahid Rauf
  • Patent number: 8512472
    Abstract: Methods and apparatus for controlling temperature and flow characteristics of process gases in a process chamber have been provided herein. In some embodiments, an apparatus for controlling temperature and flow characteristics of a process gas in a process chamber may include a gas pre-heat ring configured to be disposed about a substrate and having a labyrinthine conduit disposed therein, wherein the labyrinthine conduit has an inlet and outlet to facilitate the flow of the process gas therethrough.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: August 20, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jean R. Vatus, Kailash Kiran Patalay
  • Patent number: 8506713
    Abstract: The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is loc
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: August 13, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Patent number: 8506754
    Abstract: A cross flow chemical vapor deposition chamber can comprise an inlet duct having a generally rectangular cross-section and an outlet duct having a generally rectangular cross-section. The rectangular inlet duct and the rectangular outlet duct can facilitate laminar flow of reactant gases over a susceptor. Movable partitions can be configured to define a plurality of zones within the chamber. Each zone can contain a different reactant gas, concentration of reactant gas, and/or flow rate of reactant gas. Enhanced laminar flow can be provided, undesirable depletion of reactant gas can be mitigated, and enhanced control of reactant gases can be facilitated.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: August 13, 2013
    Assignee: Toshiba Techno Center Inc.
    Inventors: Vahid S. Moshtagh, Heng Liu, Jeffery Ramer, Michael Solomensky
  • Publication number: 20130199729
    Abstract: A processing gas diffusing and supplying unit is provided in a substrate processing unit including a processing chamber for accommodating a substrate. The processing gas diffusing and supplying unit comprises a main body; a plate supported by the main body and having a plurality of gas supply holes; a partition wall; an internal space having a first and a second space partitioned by the partition wall; a first and a second opening respectively communicating with the first and the second space while facing the plate, first and a second space being connected to a first and a second processing gas introducing pipe of the processing chamber, respectively; and a first and a second shielding portion respectively installed in the first and the second space and having a surface facing the first and the second opening.
    Type: Application
    Filed: March 15, 2013
    Publication date: August 8, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: TOKYO ELECTRON LIMITED
  • Publication number: 20130203261
    Abstract: A plasma tuning rod system is provided with one or more microwave cavities configured to couple electromagnetic (EM) energy in a desired EM wave mode to a plasma by generating resonant microwave energy in one or more plasma tuning rods within and/or adjacent to the plasma. One or more microwave cavity assemblies can be coupled to a process chamber, and can comprise one or more tuning spaces/cavities. Each tuning space/cavity can have one or more plasma tuning rods coupled thereto. The plasma tuning rods can be configured to couple the EM energy from the resonant cavities to the process space within the process chamber and thereby create uniform plasma within the process space.
    Type: Application
    Filed: March 15, 2013
    Publication date: August 8, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tokyo Electron Limited
  • Publication number: 20130199728
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 8, 2013
    Inventors: Hiroyuki KOBAYASHI, Kenji MAEDA, Kenetsu YOKOGAWA, Masaru IZAWA, Tadamitsu KANEKIYO
  • Patent number: 8496780
    Abstract: An integrated deposition system is described that is capable of vaporizing low vapor pressure liquid precursors and conveying the vapor to a processing region to fabricate advanced integrated circuits. The integrated deposition system includes a heated exhaust system, a remote plasma generator, a processing chamber, a liquid delivery system, and a computer control module that together create a commercially viable and production worthy system for depositing high capacity dielectric materials from low vapor pressure precursors.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: July 30, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Bobby M. Ronsse, Craig R. Metzner, Richard Omar Collins
  • Patent number: 8496781
    Abstract: The invention provides a plasma processing apparatus which is based upon a dry etching apparatus and which can inhibit the contamination of a work piece caused by sputtering onto a wall of a vacuum chamber, the occurrence of a foreign matter, the increase of a running cost for replacing the walls of the vacuum chamber and the deterioration of a rate of operation.
    Type: Grant
    Filed: July 18, 2005
    Date of Patent: July 30, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenetsu Yokogawa, Kenji Maeda, Masaru Izawa
  • Publication number: 20130186858
    Abstract: Etching is performed through the following process. A substrate is loaded into a processing chamber and mounted on a mounting table therein. Then, in the state where a ring member at least a surface of which is made of a same material as a main component of an etching target film is provided to surround the substrate, a processing gas is injected in a shower-like manner from a gas supply unit oppositely facing the substrate and the etching target film is etched by using a plasma of the processing gas; and evacuating the inside of the processing chamber through an exhaust path. Through this process, unbalanced distribution of plasma active species in the vicinity of a circumferential edge portion of the substrate can be suppressed.
    Type: Application
    Filed: July 20, 2012
    Publication date: July 25, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ayuta SUZUKI, Songyun Kang, Tsuyoshi Moriya, Nobutoshi Terasawa, Yoshiaki Okabe
  • Publication number: 20130186859
    Abstract: RF power is coupled to one or more RF drive points (50-56) on an electrode (20-28) of a plasma chamber such that the level of RF power coupled to the RF drive points (51-52, 55-56) on the half (61) of the electrode that is closer to the workpiece passageway (12) exceeds the level of RF power coupled to the RF drive points (53-54), if any, on the other half (62) of the electrode. Alternatively, RF power is coupled to one or more RF drive points on an electrode of a plasma chamber such that the weighted mean of the drive point positions is between the center (60) of the electrode and the workpiece passageway. The weighted mean is based on weighting each drive point position by the time-averaged level of RF power coupled to that drive point position. The invention offsets an increase in plasma density that otherwise would exist adjacent the end of the electrode closest to the passageway.
    Type: Application
    Filed: December 31, 2012
    Publication date: July 25, 2013
    Applicant: Applied Materials, Inc. a corporation of the State of Delaware, U.S.A.
    Inventor: Applied Materials, Inc. a corporation of the State of Delaware, U.S.A
  • Publication number: 20130189800
    Abstract: A plasma processing apparatus is provided which includes an inert gas supply route connected to a process gas supply piping which supplies a process gas into a processing chamber in a vacuum vessel, a valve which opens or closes the inert gas supply route, and an adjuster which adjusts a flow rate of the inert gas. When processing of a sample is complete, an inert gas is supplied into the process gas supply piping so that a pressure in the process gas supply piping is maintained at a pressure higher than a pressure at which a compound of the process gas and a material of an inner wall of the process gas supply piping vaporizes.
    Type: Application
    Filed: August 9, 2012
    Publication date: July 25, 2013
    Inventors: Tomohiro OHASHI, Akitaka Makino, Hiroho Kitada, Muneo Furuse, Tomoyuki Tamura