Showerhead-type Patents (Class 156/345.34)
  • Patent number: 9064909
    Abstract: A showerhead electrode for a plasma processing apparatus includes an interface gel between facing surfaces of an electrode plate and a backing plate. The interface gel maintains thermal conductivity during lateral displacements generated during temperature cycling due to mismatch in coefficients of thermal expansion. The interface gel comprises, for example, a silicone based composite filled with aluminum oxide microspheres. The interface gel can conform to irregularly shaped features and maximize surface contact area between mating surfaces. The interface gel can be pre-applied to a consumable upper electrode.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: June 23, 2015
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 9039864
    Abstract: An electrical ground (36) of an RF impedance matching network (33) is connected to a connection area (50) on the grounded chamber cover (18) of a plasma chamber. The connection area is offset away from the center of the chamber cover toward a workpiece passageway (20). Alternatively, an RF power supply (30) has an electrically grounded output (32) that is connected to a connection area (52) on the chamber cover having such offset. Alternatively, an RF transmission line (37) has an electrically grounded conductor (39) that is connected between a grounded output of an RF power supply and a connection area (52) on the chamber cover having such offset.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: May 26, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jonghoon Baek, Beom Soo Park, Sam Hyungsam Kim
  • Patent number: 9038567
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: May 26, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Publication number: 20150136325
    Abstract: A system for modifying the uniformity pattern of a thin film deposited in a plasma processing chamber includes a single radio-frequency (RF) power source that is coupled to multiple points on the discharge electrode of the plasma processing chamber. Positioning of the multiple coupling points, a power distribution between the multiple coupling points, or a combination of both are selected to at least partially compensate for a consistent non-uniformity pattern of thin films produced by the chamber. The power distribution between the multiple coupling points may be produced by an appropriate RF phase difference between the RF power applied at each of the multiple coupling points.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 21, 2015
    Inventors: Zheng John YE, Ganesh BALASUBRAMANIAN, Thuy BRICHER, Jay D. PINSON, II, Hiroji HANAWA, Juan Carlos ROCHA-ALVAREZ, Kwangduk Douglas LEE, Martin Jay SEAMONS, Bok Hoen KIM, Sungwon HA
  • Patent number: 9034142
    Abstract: A temperature controlled showerhead assembly for chemical vapor deposition (CVD) chambers enhances heat dissipation to provide accurate temperature control of the showerhead face plate and maintain temperatures substantially lower than surrounding components. Heat dissipates by conduction through a showerhead stem and removed by the heat exchanger mounted outside of the vacuum environment. Heat is supplied by a heating element inserted into the steam of the showerhead. Temperature is controlled using feedback supplied by a temperature sensor installed in the stem and in thermal contact with the face plate.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: May 19, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Christopher M. Bartlett, Ming Li, Jon Henri, Marshall R. Stowell, Mohammed Sabri
  • Publication number: 20150129112
    Abstract: A shower head assembly includes an electrode plate, and a laminate base that is constituted of ceramic sheets and provided to hold the electrode plate. The laminate base includes no bonding surface between the ceramic sheets. The laminate base includes a first gas diffusion space formed in its central area and a second gas diffusion space formed in its peripheral area. A first heater electrode layer is provided above the first gas diffusion space, and a second heater electrode layer is provided above the second gas diffusion space. A first coolant passage is formed above the first gas diffusion space, and a second coolant passage is formed above the second gas diffusion space. A first gas supply passage is connected to the first gas diffusion space, and a second gas supply passage is connected to the second gas diffusion space.
    Type: Application
    Filed: October 30, 2014
    Publication date: May 14, 2015
    Inventors: Michishige SAITO, Koichi MURAKAMI, Takashi YAMAMOTO
  • Publication number: 20150129132
    Abstract: A showerhead includes a body configured to receive a reaction gas, a nozzle on the body configured to inject the reaction gas to a substrate, and a plurality of conducting members in thermal contact with the body to conduct heat generated from the substrate.
    Type: Application
    Filed: July 24, 2014
    Publication date: May 14, 2015
    Inventors: Hong-Taek LIM, Ki-Kone KIM, Ho-Jun KIM, Jong-Yong BAE, Do-Hyung KIM, Jai-Hyung WON, Seung-Moo LEE
  • Publication number: 20150129131
    Abstract: A semiconductor processing apparatus includes an electromagnetic generator, an analog signal module, and an electromagnetic shield. The electromagnetic generator is capable of generating an electromagnetic field. The analog signal module is located adjacent to the electromagnetic generator and capable of generating an analog signal. The electromagnetic shield is capable of shielding the analog signal module. The electromagnetic shield includes a plurality of covering plates. Each of the covering plates and the analog signal module are apart from at least a predetermined distance.
    Type: Application
    Filed: November 14, 2013
    Publication date: May 14, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Ching LI, Wei-Hao WU, Li-Hsiang CHAO, Bo-Wei WANG, Yen-Yu CHEN, Wei ZHANG
  • Patent number: 9023177
    Abstract: A showerhead electrode is provided where backside inserts are positioned in backside recesses formed along the backside of the electrode. The backside inserts comprise a tool engaging portion. The tool engaging portion is formed such that the backside insert further comprises one or more lateral shielding portions between the tool engaging portion and the threaded outside diameter to prevent a tool engaged with the tool engaging portion of the backside insert from extending beyond the threaded outside diameter of the insert. Further, the tool engaging portion of the backside insert comprises a plurality of torque-receiving slots arranged about the axis of rotation of the backside insert. The torque-receiving slots are arranged to avoid on-axis rotation of the backside insert via opposing pairs of torque-receiving slots.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: May 5, 2015
    Assignee: Lam Research Corporation
    Inventors: Randall Hardin, Jonathan Keihl, Duane Lytle
  • Patent number: 9017481
    Abstract: Embodiments related to managing the process feed conditions for a semiconductor process module are provided. In one example, a gas channel plate for a semiconductor process module is provided. The example gas channel plate includes a heat exchange surface including a plurality of heat exchange structures separated from one another by intervening gaps. The example gas channel plate also includes a heat exchange fluid director plate support surface for supporting a heat exchange fluid director plate above the plurality of heat exchange structures so that at least a portion of the plurality of heat exchange structures are spaced from the heat exchange fluid director plate.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: April 28, 2015
    Assignee: ASM America, Inc.
    Inventors: Fred Pettinger, Carl White, Dave Marquardt, Sokol Ibrani, Eric Shero, Todd Dunn, Kyle Fondurulia, Mike Halpin
  • Publication number: 20150097486
    Abstract: A showerhead assembly includes a front plate having a front surface, a back surface and a plurality of first through holes connecting the front surface and the back surface, a back plate having a front surface, a back surface and a plurality of second through holes connecting the front surface and the back surface, and an adhesive layer joining the back surface of the front plate and the front surface of the back plate. The plurality of first through holes are aligned with the plurality of second through holes, and the front plate and the back plate are formed from dissimilar materials.
    Type: Application
    Filed: October 2, 2014
    Publication date: April 9, 2015
    Inventors: Andrew NGUYEN, Kartik RAMASWAMY, Yogananda SARODE VISHWANATH, Alexander Charles MARCACCI
  • Patent number: 8991333
    Abstract: A substrate processing method includes a first step of subjecting a target substrate to a gas process within an atmosphere containing a fluorine-containing process gas, thereby forming a fluorine-containing reaction product on a surface of the target substrate. The method further includes a second step of subjecting the target substrate treated by the gas process to a heating process and a gas process within an atmosphere containing a reactive gas that reacts with fluorine.
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Shigeki Tozawa
  • Patent number: 8991331
    Abstract: A method for providing steerability in a plasma processing environment during substrate processing is provided. The method includes managing, power distribution by controlling power being delivered into the plasma processing environment through an array of electrical elements. The method also includes directing gas flow during substrate processing by controlling the amount of gas flowing through an array of gas injectors into the plasma processing environment, wherein individual ones of the array of gas injectors are interspersed between the array of electrical elements. The method further includes controlling gas exhausting during substrate processing by managing amount of gas exhaust being removed by an array of pumps, wherein the array of electrical elements, the array of gas injectors, and the array of pumps are arranged to create a plurality of plasma regions, each plasma region being substantially similar, thereby creating a uniform plasma region across the substrate.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: March 31, 2015
    Assignee: Lam Research Corporation
    Inventor: Neil Martin Paul Benjamin
  • Patent number: 8986493
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Patent number: 8986495
    Abstract: A plasma processing apparatus includes an upper electrode that is installed within a processing chamber so as to face a lower electrode, supplies a gas through a plurality of gas supply holes provided in a facing surface and is vertically movable; a cover body installed above the upper electrode so as to airtightly seal a top opening of the processing chamber; a multiple number of gas exhaust holes provided in the facing surface; a ring-shaped member that is arranged along a circumference of the upper electrode, is vertically movable along with the upper electrode, and forms, at a lowered position, a processing space surrounded by the lower electrode, the upper electrode and the ring-shaped member; a multiplicity of gas supply holes opened in an inner wall of the ring-shaped member; and a plurality of gas exhaust holes opened in an inner wall of the ring-shaped member.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Patent number: 8986450
    Abstract: Generation of adhered materials in a space over a gas guide of a shower head is inhibited. A substrate processing apparatus includes a process chamber; a buffer chamber including a dispersion unit; a process gas supply hole installed in a ceiling portion of the buffer chamber; an inert gas supply hole installed in the ceiling portion; a gas guide disposed in a gap between the dispersion unit and the ceiling portion, the gas guide including a base end portion disposed at a side of the process gas supply hole, a leading end portion disposed closer to the inert gas supply hole than to the process gas supply hole, and a plate portion connecting the base end portion and the leading end portion; a process chamber exhaust unit; and a control unit.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: March 24, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hidehiro Yanai, Hiroshi Ashihara, Atsushi Sano, Tadashi Takasaki
  • Patent number: 8980046
    Abstract: A top plate assembly is positioned above and spaced apart from the substrate support, such that a processing region exists between the top plate assembly and the substrate support. The top plate assembly includes a central plasma generation microchamber and a plurality of annular-shaped plasma generation microchambers positioned in a concentric manner about the central plasma generation microchamber. Adjacently positioned ones of the central and annular-shaped plasma generation microchambers are spaced apart from each other so as to form a number of axial exhaust vents therebetween. Each of the central and annular-shaped plasma generation microchambers is defined to generate a corresponding plasma therein and supply reactive constituents of its plasma to the processing region between the top plate assembly and the substrate support.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: March 17, 2015
    Assignee: Lam Research Corporation
    Inventors: Akira Koshiishi, Peter L. G. Ventzek, Jun Shinagawa, John Patrick Holland
  • Patent number: 8980045
    Abstract: A consumable ceramic liner can be used for connecting a gas outlet channel of a remote chamber to a gas inlet channel of a substrate cleaning chamber. The ceramic liner comprises an inlet cylinder having an outer diameter sized to fit in the gas outlet channel of the remote chamber, and an outlet cylinder connected to the gas inlet channel of the substrate cleaning chamber. A conical flare joins the inlet cylinder to the outlet cylinder.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Martin Riker, Wei W. Wang
  • Patent number: 8980006
    Abstract: An apparatus for chemical vapor deposition is disclosed. An aspect of the present invention provides an apparatus for chemical vapor deposition that includes: a process chamber configured to demarcate a reaction space; a back plate placed above the reaction space and having a gas inlet in a middle thereof; a gas diffusion member arranged below and separated from the gas inlet and coupled to the back plate by a first coupling member and configured to diffuse process gas supplied through the gas inlet; a shower head placed below and separated from the back plate and the gas diffusion member and having a middle part thereof coupled to the gas diffusion member by a second coupling member and having a plurality of spray holes perforated therein; and a susceptor arranged below and separated from the shower head and supporting a substrate.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: March 17, 2015
    Assignee: DMS Co., Ltd.
    Inventors: Yun-Sung Huh, Seung-Il Park
  • Patent number: 8970114
    Abstract: A temperature controlled dielectric window of an inductively coupled plasma processing chamber includes a dielectric window forming a top wall of the plasma processing chamber having at least first and second channels therein. A liquid circulating system includes a source of cold liquid circulating in a first closed loop which is not in fluid communication with the channels, a source of hot liquid circulating in a second closed loop which is in fluid communication with the channels, and first and second heat exchangers. The cold liquid passes through the first heat exchanger at a controllable flow rate and temperature of the hot liquid is adjusted by heat exchange with the cold liquid as the hot liquid passes through the first heat exchanger and then through the inlet of the first channel.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: March 3, 2015
    Assignee: Lam Research Corporation
    Inventors: Matt Busche, Adam Mace, Michael Kang, Allan Ronne
  • Patent number: 8955547
    Abstract: Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The inlet end is connectable to an inlet gas source and the outlet end is connectible with a vacuum source. Also provided are gas distribution apparatus with spiral delivery channels, intertwined spiral delivery channels, splitting delivery channels, merging delivery channels and shaped delivery channels in which an inlet end and outlet end are configured for rapid exchange of gas within the delivery channels.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: February 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Faruk Gungor, Dien-Yeh Wu, Joseph Yudovsky, Mei Chang
  • Publication number: 20150020848
    Abstract: A lower electrode plate receives radiofrequency power. A first upper plate is positioned parallel to and spaced apart from the lower electrode plate. A grounded second upper plate is positioned next to the first upper plate. A dielectric support provides support of a workpiece within a region between the lower electrode plate and the first upper plate. A purge gas is supplied at a central location of the first upper plate. A process gas is supplied to a periphery of the first upper plate. The dielectric support positions the workpiece proximate and parallel to the first upper plate, such that the purge gas flows over a top surface of the workpiece so as to prevent the process gas from flowing over the top surface of the workpiece, and so as to cause the process gas to flow around a peripheral edge of the workpiece and below the workpiece.
    Type: Application
    Filed: September 19, 2013
    Publication date: January 22, 2015
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Keechan Kim, Jack Chen, Yunsang Kim, Kenneth George Delfin
  • Patent number: 8925351
    Abstract: A manufacturing method of a top plate hermetically attached to an upper opening of a tubular shaped container body for forming a processing container of a plasma processing apparatus is provided. The manufacturing method includes the steps of; preparing a top plate body comprised of a dielectric body for transmitting an electromagnetic wave, and having a gas ejection hole for ejecting a gas into the processing container; forming a discharge prevention member having a discharge prevention member body comprised of a dielectric body having a permeability, and a dense member comprised of a dielectric body without a permeability covering at least a side face of the discharge prevention member body; and attaching the discharge prevention member in the gas ejection hole of the top plate body.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: January 6, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Toshihisa Nozawa, Kiyotaka Ishibashi
  • Patent number: 8926790
    Abstract: The invention provides a plasma processing apparatus aimed at suppressing the corrosion caused by reactive gas and heavy-metal contamination caused by plasma damage of components constituting the high-frequency electrode and gas supply unit. The plasma processing apparatus comprises a processing chamber 1 for subjecting a processing substrate 4 to plasma processing, gas supply means 17, 16 and 11 for feeding gas to the processing chamber 1, and an antenna electrode 10 for supplying high-frequency radiation for discharging the gas to generate plasma, wherein the gas supply means includes a gas shower plate 11 having gas discharge holes on the surface exposed to plasma, and a portion of or a whole surface of the conductor 10 exposed to gas constituting the antenna-electrode side of the gas supply means is subjected to ceramic spraying containing no heavy metal to form a protecting film 12.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: January 6, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tsutomu Tetsuka, Toshio Masuda, Naoshi Itabashi, Masanori Kadotani, Takashi Fujii
  • Patent number: 8920596
    Abstract: In a plasma processing apparatus for processing a substrate by plasmatizing a process gas introduced into a processing container, an introducing unit which introduces the process gas is formed on a ceiling surface of the processing container; a gas retention portion which gathers the process gas supplied from the outside of the processing container through a supply passage, and a plurality of gas ejection holes which allow communication between the gas retention portion and the inside of the processing container are formed in the introducing unit; a gas ejection hole is not formed in a location of the gas retention portion that faces an opening of the supply passage; and a cross section of each of the gas ejection holes has a flat shape.
    Type: Grant
    Filed: August 25, 2010
    Date of Patent: December 30, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Mihara, Naoki Matsumoto, Jun Yoshikawa, Kazuo Murakami
  • Patent number: 8915999
    Abstract: A shower plate is disposed in a processing chamber in a plasma processing apparatus, and plasma excitation gas is released into the processing chamber so as to generate plasma. A ceramic member having a plurality of gas release holes having a diameter of 20 ?m to 70 ?m, and/or a porous gas-communicating body having pores having a maximum diameter of not more than 75 ?m communicating in the gas-communicating direction are sintered and bonded integrally with the inside of each of a plurality of vertical holes which act as release paths for the plasma excitation gas.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: December 23, 2014
    Assignees: Tokyo Electron Limited, National University Corporation Tohoku University
    Inventors: Masahiro Okesaku, Tadahiro Ohmi, Tetsuya Goto, Takaaki Matsuoka, Toshihisa Nozawa, Atsutoshi Inokuchi, Kiyotaka Ishibashi
  • Publication number: 20140367359
    Abstract: A method for controlling in-plane uniformity of a substrate processed by plasma-assisted process in a reactor, includes: supplying a principal gas to a reaction space, and discharging radially the principal gas from the reaction space through an annular duct; and supplying an secondary gas to the reaction space from an area in close proximity to an outer periphery of a susceptor, outside an outer circumference of the substrate as viewed from above, so as to flow at least partially in an inward direction passing the outer circumference of the substrate, reversing the direction of the secondary gas to flow toward the annular duct in a vicinity of the outer circumference of the substrate, and discharging radially the secondary gas together with the principal gas from the reaction space through the annular duct.
    Type: Application
    Filed: June 12, 2013
    Publication date: December 18, 2014
    Inventors: Ryu Nakano, Naoki Inoue
  • Patent number: 8911553
    Abstract: Embodiments of the invention generally provide apparatuses and methods for controlling the gas flow profile within a processing chamber. In one embodiment, a processing tool includes an ultraviolet processing chamber defining a processing region, a substrate support, a window disposed between a UV radiation source and the substrate support, and a transparent showerhead disposed within the processing region between the window and the substrate support and having one or more transparent showerhead passages between upper and lower processing regions. The processing tool also includes a gas distribution ring having one or more gas distribution ring passages between a gas distribution ring inner channel and the upper processing region and a gas outlet ring positioned below the gas distribution ring, the gas outlet ring having one or more gas outlet passages between a gas outlet ring inner channel within the gas outlet ring and the lower processing region.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Sanjeev Baluja, Juan Carlos Rocha-Alvarez, Alexandros T. Demos, Thomas Nowak, Jianhua Zhou
  • Patent number: 8910644
    Abstract: Embodiments of the invention generally relate to apparatus and methods for cleaning chamber components using a cleaning plate. The cleaning plate is adapted to be positioned on a substrate support during a cleaning process, and includes a plurality of turbulence-inducing structures. The turbulence-inducing structures induce a turbulent flow of cleaning gas while the cleaning plate is rotated during a cleaning process. The cleaning plate increases the retention time of the cleaning gas near the showerhead during cleaning. Additionally, the cleaning plate reduces concentration gradients within the cleaning plate to provide a more effective clean. The method includes positioning a cleaning plate adjacent to a showerhead, and introducing cleaning gas to the space between the showerhead and the cleaning plate. A material deposited on the surface of the showerhead is then heated and vaporized in the presence of the cleaning gas, and then exhausted from the processing chamber.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Xizi Dong, Kyawwin Jason Maung, Hiroji Hanawa, Sang Won Kang, David H. Quach, Donald J. K. Olgado, David Bour, Wei-Yung Hsu, Alexander Tam, Anzhong Chang, Sumedh Acharya
  • Patent number: 8906193
    Abstract: A gas supply unit, for supplying a gas into a processing chamber in which a substrate is processed, includes a plurality of gas supply sources, a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture, a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber, and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line. The gas supply unit also includes pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: December 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kenetsu Mizusawa, Keiki Ito, Masahide Itoh
  • Patent number: 8906160
    Abstract: Embodiments of the present invention provide vapor deposition tools. In one example, a vapor deposition tool includes housing. A substrate support is positioned within the housing and configured to support a substrate. A backing plate is positioned above the substrate support. A showerhead is positioned between the substrate support and the backing plate and has a plurality of openings therethrough. A fluid trap member is positioned around a periphery of the showerhead. A fluid trap member actuator is coupled to the fluid trap member and configured to move the fluid trap member between first and second positions relative to the backing plate.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: December 9, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Richard Endo, Edward Haywood
  • Patent number: 8900364
    Abstract: A processing chamber is provided. The processing chamber includes a lid having a plurality of valves affixed thereto, the plurality of valves operable to enable process gases to flow into the chamber. The chamber includes a bottom portion, where the bottom portion includes a base and side walls extending from the base. A surface of the base is configured to support a substrate. A showerhead is affixed to a bottom surface of the lid. A bottom surface of the showerhead is configured to include a central port for providing process gases into the chamber. The central port is surrounded by an annular pump channel. The annular pump channel is surrounded by an annular purge channel, where a first ridge separates the delivery region and the annular pump channel and a second ridge separates the annular pump channel and the annular purge channel.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: December 2, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Jason Wright
  • Patent number: 8900662
    Abstract: A thin film depositing apparatus and a thin film depositing method used by the thin film depositing apparatus. The thin film depositing apparatus includes a deposition chamber through which a process gas outlet of a deposition source is arranged; a transfer shuttle disposed in the deposition chamber, the transfer shuttle comprising a mounting plate for loading a substrate, the transfer shuttle being reciprocal with respect to the process gas outlet; and at least one bendable auxiliary plate installed at one side of the transfer shuttle, the bendable auxiliary plate closing the process gas outlet when opposite the process gas outlet, the bendable auxiliary plate comprising a folding member for placing the bendable auxiliary plate in each of an unbent state and bent state dependent upon the position of the transfer shuttle.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: December 2, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sung-Yong Lee, Myung-Soo Huh, Choel-Min Jang, Cheol-Rae Jo, In-Kyo Kim, Yong-Suk Lee, Mi-Ra An, Sang-Joon Seo
  • Patent number: 8894767
    Abstract: Apparatus and methods for gas distribution assemblies are provided. In one aspect, a gas distribution assembly is provided comprising an annular body comprising an annular ring having an inner annular wall, an outer wall, an upper surface, and a bottom surface, an upper recess formed into the upper surface, and a seat formed into the inner annular wall, an upper plate positioned in the upper recess, comprising a disk-shaped body having a plurality of first apertures formed therethrough, and a bottom plate positioned on the seat, comprising a disk-shaped body having a plurality of second apertures formed therethrough which align with the first apertures, and a plurality of third apertures formed between the second apertures and through the bottom plate, the bottom plate sealingly coupled to the upper plate to fluidly isolate the plurality of first and second apertures from the plurality of third apertures.
    Type: Grant
    Filed: July 15, 2010
    Date of Patent: November 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Kien N. Chuc, Qiwei Liang, Hanh D. Nguyen, Xinglong Chen, Matthew Miller, Soonam Park, Toan Q. Tran, Adib Khan, Jang-Gyoo Yang, Dmitry Lubomirsky, Shankar Venkataraman
  • Patent number: 8894768
    Abstract: A substrate processing apparatus that simultaneously forms thin films on a plurality of substrates and performs heat treatment includes: a plurality of substrate holders, each including a substrate support that supports a substrate and a first gas pipe having one or a plurality of injection holes; a boat where the plurality of substrate holders are stacked and including a second gas pipe connected with the first gas pipe of each of the substrate holders; a process chamber providing a space in which the substrates stacked in the boat are processed; a conveying unit that carries the boat into/out of the process chamber; a first heating unit disposed outside the process chamber; and a gas supply unit including a third gas pipe connected with the second gas pipe and supplying a heated or cooled gas into the second gas pipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Heung-Yeol Na, Tae-Hoon Yang, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Won-Bong Baek, Ivan Maidanchuk, Byung-Soo So, Jae-Wan Jung
  • Patent number: 8888919
    Abstract: A wafer carrier includes a body defining a central axis, a generally planar top surface perpendicular to the central axis, and pockets recessed below the top surface for receiving wafers. The body can include a lip projecting upwardly around the periphery of the top surface. The lip can define a lip surface sloping upwardly from the planar top surface in a radially outward direction away from the central axis. The body can be adapted for mounting on a spindle of a processing apparatus so that the central axis of the body is coaxial with the spindle. The lip can improve the pattern of gas flow over the top surface of the wafer carrier.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: November 18, 2014
    Assignee: Veeco Instruments Inc.
    Inventors: Bojan Mitrovic, Joshua Mangum, William E. Quinn
  • Patent number: 8888949
    Abstract: A gas distribution apparatus for a plasma processing equipment is provided. The gas distribution apparatus includes a support plate (3) and a showerhead electrode (5) that are secured together parallelly to define a chamber for gas distribution. A first gas distribution plate (4) is arranged in the chamber horizontally. On an upper surface of the gas distribution plate (4), at least one circumferential gas-flow groove (41) around its axis and a plurality of radial gas-flow grooves (42) communicating with the at least one circumferential gas-flow groove (41) is arranged. A plurality of axial viahole (43) are formed in the at least one circumferential gas-flow groove (41) and the plurality of radial gas-flow grooves (42). The gas distribution apparatus can achieve a uniform gas distribution in the plasma processing equipment.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: November 18, 2014
    Assignee: Beijing NMC Co., Ltd.
    Inventor: Liqiang Yao
  • Publication number: 20140335695
    Abstract: Embodiments of the present invention provide an apparatus and methods for forming stair-like structures in manufacturing three dimensional (3D) stacking of semiconductor chips. In one embodiment, a method of forming stair-like structures on a substrate includes performing a trimming process on a substrate to trim a patterned photoresist layer disposed on a film stack from a first width to a second width in a processing chamber, wherein the patterned photoresist layer exposes a portion of the film stack uncovered by the patterned photoresist layer during the trimming process, wherein the trimming process further comprises supplying a trimming gas mixture including at least an oxygen containing gas, and providing a light energy in the trimming gas mixture to an edge of the substrate during the trimming process.
    Type: Application
    Filed: May 10, 2013
    Publication date: November 13, 2014
    Inventors: Olivier LUERE, Olivier JOUBERT
  • Patent number: 8882913
    Abstract: The present invention is related to an apparatus and a method for chemical vapor deposition (CVD) using a showerhead through which a reactive gas of at least one kind and a purge gas is injected over a substrate on which a film is growing. A plural number of reactive gas showerhead modules are laid on a purge gas showerhead module. Each reactive gas is injected from a bottom of the showerhead after flowing through the showerhead as separated, thereby preventing the reactive gases from causing homogeneous gas phase reactions and from generating unwanted particles at the inside of the showerhead. And a purge gas is injected from the bottom surface of the showerhead by forming a protective curtain, thereby suppressing diffusion of the reactive gas injected backwardly.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: November 11, 2014
    Assignees: Piezonics Co., Ltd, Korea Institute of Industrial Technology
    Inventors: Chul Soo Byun, Man Cheol Han
  • Patent number: 8877000
    Abstract: A plasma-processing chamber including pulsed gas injection orifices/nozzles utilized in combination with continuous flow shower head injection orifices is described. The continuous flow shower head injection orifices introduce a continuous flow of gas while the pulsed gas injection orifices/nozzles cyclically inject a high-pressure gas into the chamber. In one embodiment, a central computer may monitor and control pressure measurement devices and utilize the measurements to adjust processing parameters (e.g. pulse duration, pulse repetition rate, and the pulse mass flow rate of processing gases).
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Patent number: 8877002
    Abstract: An internal member of a plasma processing vessel includes a base material and a film formed by thermal spraying of ceramic on a surface of the base material. The film is formed of ceramic which includes at least one kind of element selected from the group consisting of B, Mg, Al, Si, Ca, Cr, Y, Zr, Ta, Ce and Nd. In addition, at least a portion of the film is sealed by a resin.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kouji Mitsuhashi, Hiroyuki Nakayama, Nobuyuki Nagayama, Tsuyoshi Moriya, Hiroshi Nagaike
  • Publication number: 20140315392
    Abstract: A cold spray barrier coated component of a semiconductor plasma processing chamber comprises a substrate having at least one metal surface wherein a portion of the metal surface is configured to form an electrical contact. A cold spray barrier coating is formed from a thermally and electrically conductive material on at least the metal surface configured to form the electrical contact of the substrate. Further, the cold spray barrier coating may also be located on a plasma exposed and/or process gas exposed surface of the component.
    Type: Application
    Filed: April 22, 2013
    Publication date: October 23, 2014
    Applicant: Lam Research Corporation
    Inventors: Lin Xu, Hong Shih, Anthony Amadio, Rajinder Dhindsa, John Michael Kerns, John Daugherty
  • Patent number: 8864932
    Abstract: Before a substrate is processed in a plasma processing apparatus that inhibits an increase in the temperature of an upper electrode attributable to DC voltage application as well as an increase in the upper electrode temperature attributable to high-frequency power application, a heating medium target temperature to be achieved by a heating medium in order to adjust the upper electrode temperature to a predetermined temperature setting is calculated based upon the levels of the high-frequency power to be applied to the upper electrode and a susceptor (lower electrode) and the DC voltage to be applied to the upper electrode. During the substrate processing, the heating medium, the temperature of which is controlled based upon the target temperature, circulates through a flow passage formed at the upper electrode so as to control the temperature of the upper electrode.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: October 21, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Masao Furuya
  • Patent number: 8858754
    Abstract: There is provided a plasma processing apparatus capable of easily exhausting a processing gas introduced in a space above a vertically movable upper electrode. The plasma processing apparatus includes a vertically movable upper electrode 120 installed at a ceiling wall 105 of a processing chamber 102 so as to face a lower electrode 111 and having a multiple number of discharge holes 123 for introducing the processing gas; a shield sidewall 310 configured to surround the electrodes and a processing space between the electrodes; an inner gas exhaust path 330 formed at the inside of the shield sidewall and configured to exhaust the atmosphere in the processing space; and an outer gas exhaust path 138 installed at the outside of the shield sidewall and configured to exhaust the processing gas introduced into a space between the upper electrode and the ceiling wall.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masato Horiguchi, Hiroshi Tsujimoto, Takashi Kitazawa
  • Patent number: 8858712
    Abstract: An electrode for use in a plasma processing apparatus is provided above a lower electrode within a processing chamber so as to face the lower electrode serving as a mounting table configured to mount thereon a processing target substrate. The electrode includes an upper member provided with a plurality of gas passage holes through which a processing gas is supplied; and a lower member positioned below the upper member and provided with multiple sets of gas discharge holes through which the processing gas is discharged. Here, each gas passage hole may have a diameter larger than that of each gas discharge hole, each set of the gas discharge holes may communicate with corresponding one of the gas passage holes, and each set of the gas discharge holes may be arranged outside the rim of the corresponding one of the gas passage holes when viewed from a top thereof.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Keiichi Nagakubo, Takahiro Miyai
  • Patent number: 8852347
    Abstract: A gas heating device and a processing system for use therein are described for depositing a thin film on a substrate using a vapor deposition process. The gas heating device includes a heating element array having a plurality of heating element zones configured to receive a flow of a film forming composition across or through said plurality of heating element zones in order to cause pyrolysis of one or more constituents of the film forming composition when heated. Additionally, the processing system may include a substrate holder configured to support a substrate. The substrate holder may include a backside gas supply system configured to supply a heat transfer gas to a backside of said substrate, wherein the backside gas supply system is configured to independently supply the heat transfer gas to multiple zones at the backside of the substrate.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Jacques Faguet, Eric J. Strang
  • Patent number: 8852387
    Abstract: There is provided a plasma processing apparatus including: a shower head installed within a processing chamber for processing a substrate and facing a mounting table for mounting the substrate; a multiple number of gas exhaust holes formed through the shower head to be extended from a facing surface of the shower head to an opposite surface to the facing surface; a multiple number of openable and closable trigger holes formed through the shower head to be extended from the facing surface of the shower head to the opposite surface, and configured to allow plasma leakage from the facing surface to the opposite surface; and a partition wall installed in a gas exhaust space provided on the side of the opposite surface of the shower head to divide the gas exhaust space into a multiple number of regions, each region communicating with one or more trigger holes.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Jun Abe, Akihiro Yokota, Takeshi Ohse
  • Patent number: 8852386
    Abstract: A plasma processing apparatus includes a shower head that supplies a gas toward a substrate in a shower pattern through a plurality of gas discharge holes provided in a facing surface of the shower head facing a mounting table; a multiple number of gas exhaust holes provided in the facing surface of the shower head; a vertically movable ring-shaped member that is installed along a circumference of the mounting table and is configured to form, at a raised position, a processing space surrounded by the mounting table, the shower head and the ring-shaped member; a multiplicity of gas supply holes opened in an inner wall of the ring-shaped member to supply a gas into the processing space; and a plurality of gas exhaust holes opened in an inner wall of the ring-shaped member to evacuate the processing space.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Yuki Mochizuki, Jun Abe
  • Publication number: 20140291286
    Abstract: A shower head includes a gas injection plate and a gas supply unit. The gas supply unit has a first gas supply path provided in a region along the axis and a second gas supply path provided in a region surrounding the region where the first gas supply path is provided. The first gas supply path has a first gas diffusion space connected to a first gas line of the gas supply unit, second gas lines, a second gas diffusion space, third gas lines and a third gas diffusion space which are connected in that order. The second gas supply path has a fourth gas diffusion space connected to a fourth gas line of the gas supply unit, fifth gas lines, a fifth gas diffusion space, sixth gas lines, and a sixth gas diffusion space which are connected in that order.
    Type: Application
    Filed: March 25, 2014
    Publication date: October 2, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki OKAYAMA, Koichi KAZAMA, Shuichiro UDA, Satoshi YAMADA, Shinji FUCHIGAMI
  • Patent number: 8846539
    Abstract: A plasma processing apparatus includes a heater in thermal contact with a showerhead electrode, and a temperature controlled top plate in thermal contact with the heater to maintain a desired temperature of the showerhead electrode during semiconductor substrate processing. A gas distribution member supplies a process gas and radio frequency (RF) power to the showerhead electrode.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: September 30, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz