Showerhead-type Patents (Class 156/345.34)
  • Patent number: 6635115
    Abstract: The present invention provides an apparatus for vacuum processing generally comprising an enclosure having a plurality of isolated chambers formed therein, a gas distribution assembly disposed in each processing chamber, a gas source connected to the plurality of isolated chambers, and a power supply connected to each gas distribution assembly.
    Type: Grant
    Filed: May 19, 2000
    Date of Patent: October 21, 2003
    Assignee: Applied Materials Inc.
    Inventors: Kevin Fairbairn, Jessica Barzilai, Hari K. Ponnekanti, W. N. (Nick) Taylor
  • Patent number: 6632322
    Abstract: A component delivery mechanism for distributing a component inside a process chamber is disclosed. The component is used to process a work piece within the process chamber. The component delivery mechanism includes a plurality of component outputs for outputting the component to a desired region of the process chamber. The component delivery mechanism further includes a spatial distribution switch coupled to the plurality of component outputs. The spatial distribution switch is arranged for directing the component to at least one of the plurality of component outputs. The component delivery mechanism also includes a single component source coupled to the spatial distribution switch. The single component source is arranged for supplying the component to the spatial distribution switch.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: October 14, 2003
    Assignee: Lam Research Corporation
    Inventors: Richard A. Gottscho, Robert J. Steger
  • Patent number: 6632323
    Abstract: A method and an apparatus for treating a workpiece using a plasma are disclosed in the present invention. In treating a workpiece using a plasma, the apparatus includes at least one pin electrode for receiving a power source, a dielectric body having first and second sides, wherein the first side is coupled to the pin electrode and the second side has at least one capillary extending to a direction of the first side of the dielectric body, and each capillary is substantially aligned with each pin electrode, and a counter electrode electrically coupled to the pin electrode for generating the plasma from each capillary.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: October 14, 2003
    Assignee: Plasmion Corporation
    Inventors: Steven Kim, Seok-Kyun Song, Dong Woo Yu
  • Publication number: 20030188685
    Abstract: A substrate processing chamber has a component having a surface that is exposed inside the chamber. The exposed surface can have a pattern of recesses that are spaced apart from one another, each recess having an opening, sidewalls, and a bottom wall. The recesses are formed by directing a pulsed laser beam onto a position on a surface of the structure for a time sufficiently long to vaporize a portion of the structure at that position. The component can also be a gas distributor having an enclosure with plurality of laser drilled gas outlets having first and second openings with different diameters to reduce an ingress of a plasma into the enclosure. The laser drilled gas outlets can also have rounded edges.
    Type: Application
    Filed: April 8, 2002
    Publication date: October 9, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Hong Wang, Yongxiang He, Yixing Lin, Edwin C. Weldon, Clifford Stow
  • Patent number: 6626188
    Abstract: The present invention relates generally to the field of semiconductor device manufacturing, and more specifically to a method for cleaning and preconditioning a dome in a chemical vapor deposition system. During cleaning, the direction of flow of cooling water through an induction coil in the dome is reversed. During preconditioning, the direction of cooling water flow is preferably reversed again, such that it is the same direction as during deposition. The preconditioning portion of the method comprises introducing a hydrogen gas into the CVD chamber, and then introducing a mixture of hydrogen gas and nitrogen gas into the chamber.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: September 30, 2003
    Assignee: International Business Machines Corporation
    Inventors: John A. Fitzsimmons, Thomas H. Ivers, Pavel Smetana
  • Patent number: 6620289
    Abstract: A method and apparatus for processing a workpiece in a chamber by providing an asymmetric flow of process gas and processing the workpiece with the process gas. The asymmetric flow counteracts a non-uniform distribution of reactive species in the chamber. The asymmetric flow can be accomplished by introducing the process gas through a plurality of gas nozzles that communicate through a side wall of the chamber proximate a pump port while pumping gas with a pump coupled to the pump port. The inventive method can be used with a conventional processing chamber by only opening the gas nozzles closest to the pump and blocking any other gas nozzles. Alternatively, the method can be implemented in a processing chamber having gas nozzles located only proximate the pump port.
    Type: Grant
    Filed: April 27, 1999
    Date of Patent: September 16, 2003
    Assignee: Applied Materials, Inc
    Inventors: Chun Yan, Yan Ye, Diana Xiaobing Ma
  • Publication number: 20030143328
    Abstract: Embodiments of the present invention relate to an apparatus and method of plasma assisted deposition by generation of a plasma adjacent a processing region. One embodiment of the apparatus comprises a substrate processing chamber including a top shower plate, a power source coupled to the top shower plate, a bottom shower plate, and an insulator disposed between the top shower plate and the bottom shower plate. In one aspect, the power source is adapted to selectively provide power to the top shower plate to generate a plasma from the gases between the top shower plate and the bottom shower plate. In another embodiment, a power source is coupled to the top shower plate and the bottom shower plate to generate a plasma between the bottom shower plate and the substrate support.
    Type: Application
    Filed: July 16, 2002
    Publication date: July 31, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Chen-An Chen, Avgerinos Gelatos, Michael X. Yang, Ming Xi, Mark M. Hytros
  • Patent number: 6599367
    Abstract: A vacuum process system having a vacuum process container. Located inside the vacuum process container is a supporting table for supporting thereon an object to be processed, a presser member for pressing the top surface of the peripheral portion of the object onto the supporting table, and a shower head facing the supporting table. The shower head has a large number of gas nozzles in the bottom face thereof. The bottom face of the shower head has a facing portion positioned substantially directly above the inner peripheral edge of the presser member and a non-facing portion. The facing portion has nozzles with larger diameters than the non-facing portion or a higher density of nozzles than the non-facing portion.
    Type: Grant
    Filed: September 6, 2000
    Date of Patent: July 29, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Sakae Nakatsuka
  • Publication number: 20030136516
    Abstract: A gas diffusion plate supplying process gases into a chamber of an ICP (inductively coupled plasma) etcher is provided in the present invention. The gas diffusion plate includes a porous plate comprised of a plurality of balls and formed by compressing and curing the plurality of balls, the porous plate having a circular planar shape; a plurality of gas flow grooves formed on an upper surface of the porous plate; and a gas distribution plate having a plurality of gas-feed holes at the bottom thereof and a plurality of gas-feed passages in the side portion thereof, the gas distribution plate surrounding lower and side portions of the porous plate.
    Type: Application
    Filed: January 21, 2003
    Publication date: July 24, 2003
    Inventors: Hong-Seub Kim, Bu-Jin Ko
  • Patent number: 6592771
    Abstract: A method in which etching or ashing is conducted by providing satisfactory kinetic energy of reaction seeds such as ions or radicals without damaging a substrate, and an apparatus used in this method are provided. A predetermined film of for example polycrystalline silicon on the substrate is etched in vapor phase using reaction seeds or precursors thereof generated by contacting a reaction gas such as CF4 with a heated catalyst of for example tungsten.
    Type: Grant
    Filed: April 7, 2000
    Date of Patent: July 15, 2003
    Assignee: Sony Corporation
    Inventors: Hideo Yamanaka, Kikuo Kaise
  • Publication number: 20030116087
    Abstract: A lid assembly and a method for ALD is provided. In one aspect, the lid assembly includes a lid plate having an upper and lower surface, a manifold block disposed on the upper surface having one or more cooling channels formed therein, and one or more valves disposed on the manifold block. The lid assembly also includes a distribution plate disposed on the lower surface having a plurality of apertures and one or more openings formed there-through, and at least two isolated flow paths formed within the lid plate, manifold block, and distribution plate. A first flow path of the at least two isolated flow paths is in fluid communication with the one or more openings and a second flow path of the at least two isolated flow paths is in fluid communication with the plurality of apertures.
    Type: Application
    Filed: December 21, 2001
    Publication date: June 26, 2003
    Inventors: Anh N. Nguyen, Steve H. Chiao, Xiaoxiong Yuan, Lawrence Chung-Lai Lei, Ming Xi, Michael X. Yang, Sean M. Seutter, Toshio Itoh
  • Publication number: 20030106643
    Abstract: The present invention provides a surface treatment apparatus which can treat a surface with high speed and high quality. A casing of a surface treatment apparatus is defined into two chambers, a plasma generation chamber provided with a plasma generation electrode and a substrate treatment chamber provided with a substrate support table. A plasma nozzle is formed on an anode electrode constituting a partition wall of the both chambers. A recess is formed on an upper cathode electrode. Further, the plasma nozzle is used as a hollow anode discharge generation area, and the recess as a hollow cathode discharge generation area.
    Type: Application
    Filed: October 4, 2002
    Publication date: June 12, 2003
    Inventors: Toshihiro Tabuchi, Kouichi Ishida, Hiroyuki Mizukami, Masayuki Takashiri
  • Patent number: 6576062
    Abstract: A film forming apparatus and method of the present invention include a substrate holding section for holding a plurality of substrates in a plane within a chamber, first and second process gas discharge sections provided opposite to the substrate holding section to discharge first and second process gases, a rotation mechanism for rotating the substrate holder, and a heater for heating the substrates. While the substrates are rotating as the substrate holding section rotates, the substrate holding section, first and second mono atomic layers are alternately stacked on the corresponding substrates. A compound film is therefore formed through a reaction involved under heating.
    Type: Grant
    Filed: January 3, 2001
    Date of Patent: June 10, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Kimihiro Matsuse
  • Publication number: 20030097987
    Abstract: A plasma CVD apparatus conducting self-cleaning comprises a reaction chamber, a susceptor, a showerhead, a temperature controlling mechanism for directly controlling the temperature of the showerhead at a temperature of 200° C. to 400° C., a remote plasma discharge device provided outside the reaction chamber, and a radio-frequency power source electrically connected to either of the susceptor or the showerhead.
    Type: Application
    Filed: November 22, 2002
    Publication date: May 29, 2003
    Applicant: ASM JAPAN K.K.
    Inventor: Hideaki Fukuda
  • Patent number: 6565662
    Abstract: A plasma etching apparatus includes a process container formed of a container main body and an upper casing combined with each other. A detaching device is provided to move the upper casing between a mounted position where the upper casing is put on the container main body, and a retreated position where the upper casing is removed from the container main body. The detaching device supports the upper casing to be rotatable, movable up and down, and movable in a lateral direction, relative to the container main body. The retreated position is arranged such that the upper casing does not interfere with the container main body when the upper casing is rotated there.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: May 20, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Amano, Yoshitsugu Tanaka
  • Publication number: 20030084848
    Abstract: A method and system for controlling the temperatures of at least one gas in a plasma processing environment prior to the at least one gas entering a process chamber. This temperature control may vary at different spatial regions of a showerhead assembly (either an individual gas species or mixed gas species). According to one embodiment, an in-line heat exchanger alters (i.e., increases or decreases) the temperature of passing gas species (either high- or low-density) prior to entering a process chamber, temperature change of the gases is measured by determining a temperature of the gas both upon entrance into the in-line heat exchanger assembly and upon exit.
    Type: Application
    Filed: June 19, 2002
    Publication date: May 8, 2003
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Maolin Long
  • Publication number: 20030066607
    Abstract: A gas inlet manifold for a plasma chamber having a perforated gas distribution plate suspended by flexible side walls. The flexible suspension minimizes mechanical stress due to thermal expansion of the gas distribution plate. In another aspect, the suspension provides thermal isolation between the gas distribution plate and other components of the chamber.
    Type: Application
    Filed: November 12, 2002
    Publication date: April 10, 2003
    Applicant: Applied Materials, Inc.
    Inventors: John M. White, Ernst Keller, Wendell T. Blonigan
  • Patent number: 6537420
    Abstract: A system and method are disclosed for restricting process fluid flow within a showerhead assembly which includes a process chamber (12) with a showerhead assembly (20) disposed therein. The showerhead assembly (20) has a blocking assembly (24) disposed within the showerhead assembly (20) for restricting the flow of process fluid within the showerhead assembly (20). Restricting the flow of process fluid within the showerhead effectively restricts the flow of process fluid exiting a center portion (30) of showerhead assembly (20), directed at a substrate wafer (16) disposed within the process chamber (12).
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: March 25, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: David Jay Rose
  • Patent number: 6537418
    Abstract: A gas distribution plate (60) for a semiconductor processing chamber (86) includes a gas distribution plate for distributing gases across a surface of a semiconductor wafer (84) to be processed in the chamber. The gas distribution plates has a substantially planar member having gas outlets for distributing a reactant gas across the surface of the semiconductor wafer, the gas outlet means includes a plurality of apertures (66) defined in said planar member, the plurality of apertures having different areas at predetermined locations to adjust etching gas flow. A pump (80) is provided for evacuating a reactant-product gas created across the surface of the semiconductor wafer during wafer processing.
    Type: Grant
    Filed: September 19, 1997
    Date of Patent: March 25, 2003
    Assignee: Siemens Aktiengesellschaft
    Inventors: K. Paul Muller, Bertrand Flietner, Klaus Roithner
  • Patent number: 6537419
    Abstract: A baffle plate assembly (12) is provided for distributing gas flow into an adjacent process chamber cavity (20) containing a semiconductor wafer to be processed. The baffle plate assembly (12) comprises a generally planar upper baffle plate (14) fixedly positioned above a generally planar lower baffle plate (16) and covered by a process chamber top wall (17). The top wall (17) and the lower baffle plate form a plenum therebetween, the plenum operating at a higher pressure than the process chamber cavity (20) during operation of the device, At least the lower baffle plate (16) has a pattern of apertures (30) formed therein for permitting gas to pass therethrough and into the wafer process chamber. The upper baffle plate (16) and the lower baffle plate (14) are positioned generally parallel to each other, and the upper baffle plate (14) is smaller than the lower baffle plate (16).
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: March 25, 2003
    Inventor: David W. Kinnard
  • Publication number: 20030047282
    Abstract: The invention is to realize a gas ejection mechanism, which makes it possible to form a uniform gas flow and to control the temperature and its distribution over a gas plate, and thereby to provide a surface processing apparatus which can continuously carry out uniform processing. A surface processing apparatus of this invention comprises: a process chamber in which a substrate holding mechanism and a gas ejection mechanism are arranged to face each other; an exhaust means; and a gas supply means; wherein a gas distribution mechanism, a cooling or the heating mechanism provided with a coolant channel or a heater to cool or heat a gas plate and a number of gas passages, and the gas plate having a number of gas outlets communicated with the gas passages are arranged in that order from the upper stream to construct the gas ejection mechanism, and wherein the gas plate is fixed to the cooling or heating mechanism with a clamping member or with an electrostatic chucking mechanism.
    Type: Application
    Filed: September 5, 2002
    Publication date: March 13, 2003
    Inventors: Yasumi Sago, Masayoshi Ikeda, Kazuaki Kaneko, Daisuke Kondo, Osamu Morita
  • Patent number: 6527908
    Abstract: A plasma process apparatus capable of preventing generation of plasma in an unwanted location and performing uniform plasma processing with stability is obtained. The plasma process apparatus includes a processing chamber having an internal wall surface; a microwave radiating member having one wall surface and the other wall surface that faces the internal wall surface of the processing chamber, and being disposed such that a space is formed between the other wall surface and a portion of the internal wall surface, and propagating and radiating microwaves within the processing chamber; and a reactive gas supply member, including a reactive gas supply passage having a space formed between the other wall surface of the microwave radiating member and the internal wall surface; and a microwave transmission preventing member disposed on a region, which faces the reactive gas supply passage, of the other wall surface of the microwave radiating member.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: March 4, 2003
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Norio Kanetsuki, Takamitsu Tadera, Tatsushi Yamamoto, Masaki Hirayama, Tadahiro Ohmi
  • Publication number: 20030037880
    Abstract: A capacitively coupled reactor for plasma etch processing of substrates at subatmospheric pressures includes a chamber body defining a processing volume, a lid provided upon the chamber body, the lid being a first electrode, a substrate support provided in the processing volume and comprising a second electrode, a radio frequency source coupled at least to one of the first and second electrodes, a process gas inlet configured to deliver process gas into the processing volume, and an evacuation pump system having pumping capacity of at least 1600 liters/minute. The greater pumping capacity controls residency time of the process gases so as to regulate the degree of dissociation into more reactive species.
    Type: Application
    Filed: September 24, 2002
    Publication date: February 27, 2003
    Applicant: Applied Materials, Inc.
    Inventors: James D. Carducci, Hamid Noorbakhsh, Evans Y. Lee, Bryan Y. Pu, Hongching Shan, Claes Bjorkman, Siamak Salimian, Paul E. Luscher, Michael D. Welch
  • Patent number: 6514348
    Abstract: A substrate processing apparatus processes a substrate introduced into a chamber under a low pressure. The substrate processing apparatus comprises a vacuum pump for evacuating a chamber and a gas ejection portion provided in the vacuum pump for ejecting a gas toward the substrate. The vacuum pump is disposed in the vicinity of or inside of the chamber. The substrate processing apparatus further comprises a gas introduction path passed through at least a portion of a rotor in the vacuum pump for introducing the gas into the gas ejection portion. The gas ejection portion in the vacuum pump comprises a valve body for closing and opening a suction port provided on a chamber side of the vacuum pump. The vacuum pump has a moving mechanism for vertically moving the valve body.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: February 4, 2003
    Assignee: Ebara Corporation
    Inventor: Matsutaro Miyamoto
  • Publication number: 20030019428
    Abstract: A processing chamber is adapted to perform a deposition process on a substrate. The chamber includes a pedestal adapted to hold a substrate during deposition and a gas mixing and distribution assembly mounted above the pedestal. The gas mixing and distribution assembly includes a face plate, a dispersion plate mounted above the face plate, and a mixing fixture mounted above the dispersion plate. The face plate is adapted to present an emissivity invariant configuration to the pedestal. The mixing fixture includes a mixing chamber to which a process gas is flowed and an outer chamber surrounding the mixing chamber. The processing chamber further includes an enclosure and a liner installed inside the enclosure and surrounding the pedestal. The liner defines a gap between the liner and the enclosure. The gap has a minimum width adjacent an exhaust port and a maximum width at a point that is diametrically opposite the exhaust port.
    Type: Application
    Filed: April 26, 2002
    Publication date: January 30, 2003
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Vincent W. Ku, Anzhong Chang, Anh N. Nguyen, Ming Xi, Xiaoxiong Yuan, Juan B. Tuscano, Lawrence C. Lei, Seshadri Ganguli, Michael Yang, Chen-An Chen, Ling Chen
  • Patent number: 6508197
    Abstract: A method and system for fabricating a device on a substrate with a process gas, such as with chemical vapor deposition. A reaction chamber and support chuck cooperate to form a low conductance configuration for axisymetric process gas flow over the substrate and to form a high conductance configuration for enhanced evacuation of residual process gas from the reaction chamber upon completion of the process. A dual conductance chuck has an indented region that aligns with the exhaust port of the reaction chamber to restrict process gas flow in the low conductance configuration, and that moves distal a showerhead and the exhaust port to provide reduced restriction of process gas flow for reaction chamber evacuation. The chuck includes thermal control for enhancing film deposition on the substrate and for reducing residual film deposition on the chuck. An evacuation opening in the housing provides independent evacuation of residual gas from the housing.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: January 21, 2003
    Assignee: CVC Products, Inc.
    Inventors: Thomas R. Omstead, Panya Wongsenakhum, William J. Messner, Edward J. Nagy, William Starks, Mehrdad M. Moslehi
  • Patent number: 6499425
    Abstract: In a plasma processing apparatus, a showerhead is provided that allows for selective ionization of one or more process gasses within the showerhead. The showerhead allows the gasses to react after they exit the showerhead. As a result, a greater volume of materials are. available for deposition on a wafer surface during a chemical vapor deposition process than would be available in a process that remotely generates plasma. In addition, less damage is done to the wafer that would be done in a process that generates plasma next to the wafer.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: December 31, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Sujit Sharan, Anand Srinivasan
  • Publication number: 20020195202
    Abstract: In a plasma treating apparatus, a ceramic porous substance having a three-dimensional network structure in which a frame portion formed of ceramic containing alumina is provided continuously like a three-dimensional network is used for the material of an electrode member for the plasma treating apparatus to be attached to the front surface of a gas supplying port of an electrode for plasma generation, and a gas for plasma generation is caused to pass through a hole portion formed irregularly in the three-dimensional network structure. Consequently, the distribution of the gas to be supplied is made uniform to prevent an abnormal discharge so that uniform etching having no variation can be carried out.
    Type: Application
    Filed: June 21, 2002
    Publication date: December 26, 2002
    Applicant: Matsushita Electric Industrial Co., LTD
    Inventors: Kiyoshi Arita, Tetsuhiro Iwai, Hiroshi Haji, Shoji Sakemi, Taiji Matano, Nobuhiro Satou
  • Patent number: 6497783
    Abstract: A plasma processing apparatus is disclosed which comprises a container which can be evacuated; a gas supply means for supplying a gas to the inside of the container; and a microwave supply means for supplying microwaves to generate a plasma in the container, the plasma being utilized to process an article, wherein the microwave supply means is a microwave applicator which is provided with an annular waveguide having a planar H-plane with a plurality of slots provided apart from each other and a rectangular cross section perpendicular to the traveling direction of microwaves and which supplies microwaves to the inside of the container through a dielectric window of the container from the plurality of slots provided in the planar H-plane, and wherein the gas supply means is provided a gas emission port through which the gas is emitted toward the planar H-plane.
    Type: Grant
    Filed: May 20, 1998
    Date of Patent: December 24, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobumasa Suzuki, Manabu Matsuo, Hirohisa Oda
  • Publication number: 20020192370
    Abstract: An integrated deposition system is provided which is capable of vaporizing low vapor pressure liquid precursors and delivering this vapor into a processing region for use in the fabrication of advanced integrated circuits. The integrated deposition system is made up of a heated exhaust system, a remote plasma generator, a processing chamber and a liquid delivery system which together provide a commercially viable and production worthy system for depositing high capacity dielectric materials from low vapor pressure precursors, anneal those films while also providing commercially viable in-situ cleaning capability.
    Type: Application
    Filed: July 12, 2002
    Publication date: December 19, 2002
    Inventors: Craig R. Metzner, Turgut Sahin, Gregory F. Redinbo, Pravin K. Narwankar, Patricia M. Liu
  • Patent number: 6491784
    Abstract: It is an object of the present invention to provide an upper electrode which is prevented from being contaminated with an impurity, has a sufficient adhesive strength between the pedestal and electrode plate of silicon, secures high-precision parallelism with the lower electrode, and hence improves the etching characteristics and silicon wafer yield. It is another object of the present invention to provide a dry etching device equipped with the upper electrode. The present invention provides an upper electrode for dry etching devices, comprising an electrode plate of silicon which is supported by a pedestal, wherein (a) the pedestal is made of graphite, and (b) the electrode plate of silicon is joined to the pedestal by an organic adhesive containing a filler having a Young's modulus of 6×109 to 68×109N/m2.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: December 10, 2002
    Assignee: Nisshinbo Industries, Inc.
    Inventors: Akira Yamaguchi, Fumitsugu Nakayama
  • Patent number: 6477980
    Abstract: A gas inlet manifold for a plasma chamber having a perforated gas distribution plate suspended by flexible side walls. The flexible suspension minimizes mechanical stress due to thermal expansion of the gas distribution plate. In another aspect, the suspension provides thermal isolation between the gas distribution plate and other components of the chamber.
    Type: Grant
    Filed: January 20, 2000
    Date of Patent: November 12, 2002
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Ernst Keller, Wendell T. Blonigan
  • Patent number: 6474257
    Abstract: The present invention provides a high density plasma (HDP) chemical vapor deposition (CVD) chamber. The upper wall of the HDP CVD chamber in the present invention is composed by two ceramic layers with a defaulted distance, so the number and the distribution of the nozzles on the inner layer of the upper wall of the chamber can be adjusted according to desire. In another hand, the material of the upper wall of the chamber in the present invention is ceramic so that can be penetrated by the electromagnetic field created by the inductive coil entwined outside the chamber to generate a region of plasma within the chamber. By the application of the present invention, the time and the costs of installing the nozzles can be saved and the problem of adjusting the nozzles is not need to consider.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: November 5, 2002
    Assignee: Macronix International Co., Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6471779
    Abstract: A gas feed ceramic structure for feeding a gas into a semiconductor-producing apparatus, includes a planar substrate having a gas-feeding surface and a rear surface. The planar substrate has depressions formed from the rear surface toward the gas-feeding surface to define thin portions between the depressions and the gas-feed surface. Each of the thin portions includes a plurality of gas feed holes for feeding the gas to a side of the gas-feeding surface of the substrate, and one open end of the gas feed holes is provided at the gas-feeding surface of the substrate, and the other open end faces the depressions.
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: October 29, 2002
    Assignee: NGK Insulators, Ltd.
    Inventors: Akifumi Nishio, Masahiro Hori, Naohito Yamada
  • Patent number: 6468385
    Abstract: An apparatus and a method for preventing particle contamination in a plasma etch chamber equipped with a middle chamber by residual etchant gas is provided. In the apparatus, a bypass exhaust conduit is provided for connecting between a middle chamber cavity and a main chamber cavity such that when a pump means is turned on to evacuate the main chamber cavity, any residual etchant gas in the middle chamber cavity can be rapidly evacuated without the danger of causing metal corrosion in the middle chamber cavity. Any chamber contamination caused by the metal corrosion can thus be avoided.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: October 22, 2002
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Cheng Chih Lin
  • Patent number: 6468386
    Abstract: A gas delivery system for plasma treatment apparatus includes a gas inlet, a plenum, a gas delivery plate extending across the downstream end of the plenum and a separate ionizer plate adjacent the downstream face of the gas delivery plate. The ionizer plate is formed with openings which are larger than the apertures in the gas delivery plate.
    Type: Grant
    Filed: March 3, 2000
    Date of Patent: October 22, 2002
    Assignee: Trikon Holdings Ltd.
    Inventor: Andrew Isaac Jeffryes
  • Patent number: 6460482
    Abstract: A gas shower unit has a function of preliminary heating reactant gas to be passed therethrough, and is thus capable of causing uniform reaction within a semiconductor manufacturing apparatus and preventing through hole clogging and particle generation. The gas shower unit has a base material of 5 mm or less in thickness and includes a sintered aluminum nitride base material having a plurality of through holes and a heater circuit pattern or a plasma upper electrode as a conductive layer formed in the sintered aluminum nitride base material.
    Type: Grant
    Filed: October 17, 2000
    Date of Patent: October 8, 2002
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Akira Kuibira, Hirohiko Nakata
  • Patent number: 6446573
    Abstract: A plasma process device capable of forming homogeneous plasma and coping with a large size substrate less costly can be obtained. The plasma process device includes a processing chamber, microwave guiding means, a shower plate and a reaction gas supply passage. The microwave guiding means guides a microwave into the processing chamber. The shower plate has a gas inlet hole to supply to the processing chamber a reaction gas attaining a plasma state by the microwave, and a lower surface facing the processing chamber and an upper surface positioned on the opposite side of the lower surface. The reaction gas supply passage is positioned on the upper surface of the shower plate and supplies the reaction gas to the gas inlet hole. A wall surface of the reaction gas supply passage includes an upper surface of the shower plate and a conductor wall surface opposing the upper surface.
    Type: Grant
    Filed: August 9, 2001
    Date of Patent: September 10, 2002
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Masaki Hirayama, Tadahiro Ohmi, Tatsushi Yamamoto, Takamitsu Tadera
  • Publication number: 20020122896
    Abstract: A plasma treatment apparatus for a workpiece includes a metal electrode, a capillary dielectric having first and second sides and coupled to the metal electrode through the first side, wherein the capillary dielectric has at least one capillary, a shield body surrounding the metal electrode and the first side of the capillary dielectric, wherein the shield body has first and second end portions, and a gas supplier providing gas to the metal electrode.
    Type: Application
    Filed: March 2, 2001
    Publication date: September 5, 2002
    Applicant: SKION CORPORATION
    Inventors: Steven Kim, Dong Woo Yu, Seok-Kyun Song, Seungdeok Kim
  • Patent number: 6444039
    Abstract: A vapor supply apparatus, for use in a semiconductor device manufacturing process, provides a three-dimensional showerhead for supplying various precursors to a reaction chamber. The three-dimensional showerhead comprises an inverted-cup structure having double walls, an outer wall and an inner wall, with the double walls defining a first interior volume and the inner wall defining an exterior cavity, the inverted-cup structure further has a plurality of first inlet ports connected to the outer wall for introducing first precursors into the first interior volume and a plurality of first outlet ports at the inner wall for discharging the first precursor vapor from the first interior volume to the exterior cavity. The three-dimensional showerhead confines the precursor vapor inside the external cavity to modify the processed film characteristics.
    Type: Grant
    Filed: March 7, 2000
    Date of Patent: September 3, 2002
    Assignee: Simplus Systems Corporation
    Inventor: Tue Nguyen
  • Patent number: 6444040
    Abstract: An apparatus for use in a substrate processing system. The apparatus is generally a fluid distribution plate comprising an inner disk and an outer ring. The fluid distribution plate has a plurality of openings for fluid distribution and at least one slot defined therein.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: September 3, 2002
    Assignee: Applied Materials Inc.
    Inventors: Harald Herchen, David Palagashvili, Dmitry Lubomirsky, Alex Schreiber
  • Patent number: 6432259
    Abstract: A plasma reactor embodying the invention includes a wafer support and a chamber enclosure member having an interior surface generally facing the wafer support. At least one miniature gas distribution plate for introducing a process gas into the reactor is supported on the chamber enclosure member and has an outlet surface which is a fraction of the area of the interior surface of said wafer support. A coolant system maintains the chamber enclosure member at a low temperature, and the miniature gas distribution plate is at least partially thermally insulated from the chamber enclosure member so that it is maintained at a higher temperature by plasma heating.
    Type: Grant
    Filed: December 14, 1999
    Date of Patent: August 13, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Hamid Noorbakhsh, Michael Welch, Siamak Salimian, Paul Luscher, Hongching Shan, Kaushik Vaidya, Jim Carducci, Evans Lee
  • Publication number: 20020100555
    Abstract: A plasma processing system for processing a substrate is disclosed. The system includes a process component capable of effecting a plasma inside a process chamber. The system also includes a gear drive assembly for moving the process component in a linear direction during processing of the substrate.
    Type: Application
    Filed: November 5, 2001
    Publication date: August 1, 2002
    Applicant: LAM RESEARCH
    Inventors: Fangli Hao, Keith Dawson, Eric H. Lenz
  • Publication number: 20020088542
    Abstract: A plasma processing apparatus includes a reaction chamber for processing a workpiece with plasma which is generated by using one or more gases, a gas supplying means which pulsatively supplies the gases to the reaction chamber, and an exhaust means for exhausting the reaction chamber, wherein a gas supplying direction by said gas supplying means is arranged to correspond with an exhausting direction by said exhausting means.
    Type: Application
    Filed: February 1, 2000
    Publication date: July 11, 2002
    Inventors: Kazuyasu Nishikawa, Hiroki ootera, Masakazu Taki, Kenji Shintani, Shingo Tomohisa, Tatsuo Oomori
  • Patent number: 6417111
    Abstract: A plasma processing method includes introducing at least one first processing gas into a processing chamber including a mounting stage supporting a substrate having a surface; generating a plasma in the first processing gas; introducing a second processing gas into a gas storage chamber separated from the processing chamber by a partition opposite the mounting stage and including a plurality of jet holes; and jetting neutral particles of the second processing gas from the gas storage chamber toward the substrate through the jet holes in a direction generally perpendicular to the surface of the substrate, thereby plasma processing the substrate.
    Type: Grant
    Filed: February 2, 2000
    Date of Patent: July 9, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kazuyasu Nishikawa, Hiroki Ootera, Tatsuo Oomori
  • Patent number: 6415736
    Abstract: A gas distribution system for semiconductor processing includes a contoured surface to achieve a desired gas distribution on the backside of a showerhead. The system can include one or more gas supplies opening into a plenum between a baffle plate and a temperature-controlled support member. The baffle plate can have a nonuniform thickness and geometry-controlled openings to achieve a desired gas distribution. In one arrangement the baffle plate is conical in shape with uniform diameter holes extending different distances through the baffle plate to achieve a uniform pressure of gas through outlets in a planar bottom surface of the baffle plate. In another arrangement, the holes have progressively larger diameters in a direction away from the location of the centrally located gas supply outlet. The shape of the baffle plate and/or configuration of the holes can be designed to achieve a desired gas pressure distribution.
    Type: Grant
    Filed: June 30, 1999
    Date of Patent: July 9, 2002
    Assignee: Lam Research Corporation
    Inventors: Fangli Hao, Rajinder Dhindsa
  • Publication number: 20020069969
    Abstract: A system and method are disclosed for restricting process fluid flow within a showerhead assembly which includes a process chamber (12) with a showerhead assembly (20) disposed therein. The showerhead assembly (20) has a blocking assembly (24) disposed within the showerhead assembly (20) for restricting the flow of process fluid within the showerhead assembly (20). Restricting the flow of process fluid within the showerhead effectively restricts the flow of process fluid exiting a center portion (30) of showerhead assembly (20), directed at a substrate wafer (16) disposed within the process chamber (12).
    Type: Application
    Filed: December 7, 2000
    Publication date: June 13, 2002
    Inventor: David Jay Rose