Silicon Containing Patents (Class 204/192.23)
  • Patent number: 11402557
    Abstract: A solar control coating (30) includes a first phase adjustment layer (40); a first metal functional layer (46); a second phase adjustment layer (50); a second metal functional layer (58); a third phase adjustment layer (62); a third metal functional layer (70); a fourth phase adjustment layer (86); and optionally, a protective layer (92). At least one of the metal functional layers (46, 58, 70) includes a metal functional multi-film layer including (i) at least one infrared reflective film and (ii) at least one absorptive film.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: August 2, 2022
    Assignee: Vitro Flat Glass LLC
    Inventors: Andrew V. Wagner, Patrick Fisher, Paul A. Medwick, Benjamin Lucci
  • Patent number: 11225710
    Abstract: A method for preparing a super-lubricative multi-layer composite fullerene-like carbon layer/graphene-like boron nitride thin film is provided. A substrate is ultrasonically cleaned in absolute ethyl alcohol and acetone sequentially for 15 min. The substrate is cleaned by argon plasma bombardment for 15 min. A fullerene-like carbon layer A having an onion-like structure is prepared by high-vacuum medium-frequency magnetron sputtering for 30 s. A graphene-like boron nitride layer B is prepared by high-vacuum medium-frequency magnetron sputtering and coating device to sputter the elemental boron target for 30 s. Steps (3) and (4) are repeated 80 times to overlay the fullerene-like carbon layer A and the graphene-like boron nitride layer B in an alternate way. The super-lubricative multi-layer composite fullerene-like carbon layer/graphene-like boron nitride thin film has a large load capacity, and excellent wear resistance, high temperature resistance and super lubrication.
    Type: Grant
    Filed: June 10, 2020
    Date of Patent: January 18, 2022
    Inventors: Kaixiong Gao, Junyan Zhang, Bin Zhang, Yuanlie Yu, Li Qiang, Xingkai Zhang
  • Patent number: 10921110
    Abstract: A strain gauge includes a flexible substrate, and resistors each formed of a Cr composite film. The resistors include a first resistor formed on one side of the substrate and includes a second resistor formed on another side of the substrate. The first resistor and the second resistor are arranged such that grid directions of the first resistor and the second resistor intersect in a plan view.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: February 16, 2021
    Assignee: MINEBEA MITSUMI Inc.
    Inventors: Eiji Misaizu, Shigeyuki Adachi, Kosuke Kitahara, Toshiaki Asakawa, Atsushi Kitamura
  • Patent number: 10908320
    Abstract: The coated glass sheet of the present invention includes: a glass sheet; and a coating film provided on at least one principal surface of the glass sheet. The coating film includes a dense layer and a porous layer. The dense layer is positioned between the porous layer and the glass sheet.
    Type: Grant
    Filed: September 7, 2016
    Date of Patent: February 2, 2021
    Assignee: NIPPON SHEET GLASS COMPANY, LIMITED
    Inventors: Takeshi Yabuta, Toru Yamamoto, Fumiyoshi Kondo, Yoko Miyamoto
  • Patent number: 10879108
    Abstract: Topographic planarization methods for a lithography process are provided. The method includes providing a substrate having a topography surface. A planarization stack is formed over the topography surface of the substrate. The optical material stack includes a first optical material layer and an overlying second optical material layer, and the first optical material layer has a higher etching rate than the second optical material layer with respect to an etchant. The planarization stack is etched using the etchant to entirely remove the second optical material layer and partially remove the first optical material layer, such that the remaining first optical material layer has a substantially planar surface over the topography surface of the substrate.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: December 29, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzu-Yang Lin, Ming-Hui Weng, Cheng-Han Wu, Chin-Hsiang Lin
  • Patent number: 10847522
    Abstract: A semiconductor device includes a substrate; a transistor formed on a surface of the substrate; a first insulating film formed above the transistor; a second semiconductor film formed on the first semiconductor film; a third semiconductor film formed on the second semiconductor film; a fourth semiconductor film formed on the third semiconductor film; and a ferroelectric capacitor formed on the fourth insulating film, wherein a hydrogen permeability of the third insulating film is higher than a hydrogen permeability of the first insulating film, and a hydrogen permeability and an oxygen permeability of the second insulating film and of the fourth insulating film are higher than the hydrogen permeability and an oxygen permeability of the first insulating film and of the third insulating film.
    Type: Grant
    Filed: January 3, 2019
    Date of Patent: November 24, 2020
    Assignee: FUJITSU SEMICONDUCTOR MEMORY SOLUTION LIMITED
    Inventors: Kouichi Nagai, Ko Nakamura, Mitsuhiro Nakamura, Akio Ito
  • Patent number: 10838258
    Abstract: Methods are provided for making layers with nano- and micro-patterned topographies by laser action or inkjet printing on a first surface. These topographies have a periodicity of 5 nm to 500 ?m in a first direction in the plane of the first surface. These layers can be used as anisotropically patterned alignment layers in electro-optical devices and generate an orientational order of at least 0.30.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: November 17, 2020
    Assignee: E-VISION, LLC
    Inventors: Anita Trajkovska-Broach, David Boyd, Dan Chambers, Joseph O. Branham
  • Patent number: 10790466
    Abstract: An in-line system for mass production of an organic optoelectronic device is disclosed. The in-line system includes a patterned holder, a first chamber, and a second chamber. The patterned holder is for holding a substrate covered with a first electrode layer and a contact electrode layer, in which the first electrode layer and the contact electrode layer are partially shielded by the patterned holder. The first chamber is for forming an organic layer on portions of the first electrode layer and the contact electrode layer that are not shielded by the patterned holder. The second chamber is aligned with the first chamber and is for forming a second electrode layer on the organic layer.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: September 29, 2020
    Inventors: Feng-Wen Yen, Cheng-Hao Chang
  • Patent number: 10570057
    Abstract: A low-E coating has good color stability (a low ?E* value) upon heat treatment (HT). The provision of an as-deposited crystalline or substantially crystalline layer of or including zinc oxide, doped with at least one dopant (e.g., Sn), immediately under an infrared (IR) reflecting layer of or including silver in a low-E coating has effect of significantly improving the coating's thermal stability (i.e., lowering the ?E* value). One or more such crystalline, or substantially crystalline, layers may be provided under one or more corresponding IR reflecting layers comprising silver.
    Type: Grant
    Filed: May 22, 2019
    Date of Patent: February 25, 2020
    Assignee: GUARDIAN GLASS, LLC.
    Inventors: Yongli Xu, Brent Boyce, Salah Boussaad, Philip J. Lingle, Jingyu Lao, Richard Vernhes
  • Patent number: 10544507
    Abstract: A film forming apparatus (10) includes a mask body (34) configured to expose inner surfaces (14a) of cylinder bores (14), and mask an inner surface (16a) of a crankcase (16). The mask body (34) includes a main body portion (104), a sealing member (106) and a biasing member (108). The main body portion (104) is configured to stretch and contract, and includes a first tubular member (100) and a second tubular member (102) configured to have an insert structure at least part of which is slidable along an axial direction. The main body portion (104) can stretch and contact in a state where at least one end in the axial direction thereof contacts an inner surface of a cylinder block (12). The sealing member (106) is interposed between sliding surfaces of the first tubular member (100) and the second tubular member (102). The biasing member (108) is configured to resiliently bias the first tubular member (100) and the second tubular member (102) in a stretching direction of the main body portion (104).
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: January 28, 2020
    Assignee: HONDA MOTOR CO., LTD.
    Inventors: Junya Funatsu, Koji Kobayashi, Nobuhiko Yoshimoto
  • Patent number: 10301215
    Abstract: A low-E coating has good color stability (a low ?E* value) upon heat treatment (HT). The provision of an as-deposited crystalline or substantially crystalline layer of or including zinc oxide, doped with at least one dopant (e.g., Sn), immediately under an infrared (IR) reflecting layer of or including silver in a low-E coating has effect of significantly improving the coating's thermal stability (i.e., lowering the ?E* value). One or more such crystalline, or substantially crystalline, layers may be provided under one or more corresponding IR reflecting layers comprising silver.
    Type: Grant
    Filed: July 16, 2018
    Date of Patent: May 28, 2019
    Assignee: Guardian Glass, LLC
    Inventors: Yongli Xu, Brent Boyce, Salah Boussaad, Philip J. Lingle, Jingyu Lao, Richard Vernhes
  • Patent number: 9885108
    Abstract: To provide a sputtering target which enables an oxide film containing a plurality of metal elements and having high crystallinity. A plurality of raw materials are mixed and first baking is performed thereon, whereby a crystalline oxide is formed. The crystalline oxide is ground to form a crystalline oxide powder. The crystalline oxide powder is mixed with water and an organic substance to make slurry, and the slurry is poured into a mold provided with a filter. The water and the organic substance are removed from the slurry through the filter, so that a molded body is formed. The residual water and the residual organic substance in the molded body are removed, and then second baking is performed.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: February 6, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 9824869
    Abstract: Provided is a zinc oxide-based sputtering target that enables production of a zinc oxide-based sputtered film having higher transparency and electrical conductivity. The zinc oxide-based sputtering target of the present invention is composed of a zinc oxide-based sintered body including zinc oxide crystal grains as a main phase and spinel phases as a dopant-containing grain boundary phase, and the zinc oxide-based sputtering target has a degree of (002) orientation of ZnO of 80% or greater at a sputtering surface, a density of the zinc oxide-based sintered body of 5.50 g/cm3 or greater, the number of the spinel phases per area of 20 counts/100 ?m2 or greater, and a spinel phase distribution index of 0.40 or less.
    Type: Grant
    Filed: September 9, 2015
    Date of Patent: November 21, 2017
    Assignee: NGK Insulators, Ltd.
    Inventors: Jun Yoshikawa, Hirofumi Yamaguchi, Tsutomu Nanataki
  • Patent number: 9679855
    Abstract: Some implementations provide a semiconductor device (e.g., die, wafer) that includes a substrate, that is configured with trenches that are dry-etched into a surface of the substrate inside an area defined by scribe lines of the substrate. A crack stop structure is provided for the semiconductor device that includes a polymer dielectric layer coating that fills the trenches with a polymer dielectric material and provides a dielectric layer over the surface of the substrate inside the area. The polymer dielectric layer coating and trenches are configured to reduce cracking or chipping of the substrate in the area defined by scribe lines after cutting.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: June 13, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Jae Sik Lee, Hong Bok We, Dong Wook Kim, Jon Aday
  • Patent number: 9632635
    Abstract: An arrangement of a touch panel is provided that prevents corrosion of the lines and improves reliability. A touch panel includes: a substrate (10); a sensor electrode located on the substrate (10) and formed of an oxide conductive film; a line (161) electrically connected with the sensor electrode; and a protection film (14) provided over the line (161). The protection film (14) includes: a first protection sub-film (141) formed of silicon nitride; a second protection sub-film (142) located on the first protection sub-film (141), formed of silicon nitride and having a lower refractive index than the first protection sub-film (141); and a third protection sub-film (143) located on the second protection sub-film (142), formed of silicon nitride and having a higher refractive index than the second protection sub-film (142), wherein the second protection sub-film (142) has a thickness that is no smaller than the total thickness of the first protection sub-film (141) and the third protection sub-film (143).
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: April 25, 2017
    Assignee: SHARP KABUSHIKI KAISHA
    Inventor: Katsunori Misaki
  • Patent number: 9403345
    Abstract: An IG window unit includes a coating supported by a glass substrate. The coating includes at least the following: a dielectric layer comprising silicon nitride; a dielectric layer comprising an oxide of titanium; another dielectric layer; a layer comprising zinc oxide; an infrared (IR) reflecting layer comprising silver on the glass substrate, located over and directly contacting the layer comprising zinc oxide, wherein the coating includes only one IR reflecting layer; and an overcoat comprising (i) a layer comprising tin oxide and (ii) a layer comprising silicon nitride located over and contacting the layer comprising tin oxide. The IG unit may have an SHGC value of at least 0.65, a visible transmission of at least 70%, and/or an Energy Rating of at least 25.
    Type: Grant
    Filed: September 15, 2015
    Date of Patent: August 2, 2016
    Assignees: Guardian Industries Corp., Centre Luxembourgeois de Recherches pour le Verre et la Ceramique S.A. (C.R.V.C.)
    Inventors: Jingyu Lao, Philip J. Lingle, Brent Boyce, Bernd Disteldorf, Richard Blacker
  • Patent number: 9214253
    Abstract: A sintered indium oxide comprising niobium as an additive, wherein the ratio of the number of niobium atoms relative to the total number of atoms of all metal elements contained in the sintered compact is within a range of 1 to 4%, the relative density is 98% or higher, and the bulk resistance is 0.9 m?·cm or less. Provided are a sintered compact of indium oxide system and a transparent conductive film of indium oxide system, which have characteristics of high transmittance in the short wavelength and long wavelength ranges since the carrier concentration is not too high even though the resistivity thereof is low.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: December 15, 2015
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Masakatsu Ikisawa, Hideo Takami
  • Patent number: 9063332
    Abstract: A light screening apparatus and an electronic device including the light screening apparatus are provided, where the electronic device could be an imaging device or a display device. The light screening apparatus includes a base plate, a rollup blade, and a driving unit. The base plate includes a lower electrode and a light transmitting portion through which light passes. The rollup blade includes an upper electrode and at least two layers having different optical properties. The driving portion is electrically connected to the base plate and the rollup blade, and it controls the amount of light passing through the light transmitting portion of the base plate. The outer circumferential surface of the rollup blade, when in a rolled-up position, may be an anti-reflection surface.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: June 23, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Che-Heung Kim
  • Patent number: 9017524
    Abstract: A vacuum film formation method for forming at least one inorganic layer on a support, which comprise transporting a support of which the area of the surface to be coated with an inorganic layer formed thereon is a (unit: cm2) into a first vacuum tank having a capacity of at most 100a (unit: cm3) under atmospheric pressure, degassing the first vacuum tank into a vacuum, transporting the support from the first vacuum tank to a second vacuum tank while the vacuum condition is kept as such, and forming at least one inorganic layer on the support in the second vacuum tank.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: April 28, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Naoki Tsukamoto
  • Patent number: 9011649
    Abstract: The subject of the invention is a process for obtaining a substrate coated on at least part of its surface with at least one film of oxide of a metal M the physical thickness of which is 30 nm or less, said oxide film not being part of a multilayer comprising at least one silver film, said process comprising the following steps: at least one intermediate film of a material chosen from the metal M, a nitride of the metal M, a carbide of the metal M and an oxygen-substoichiometric oxide of the metal M is deposited by sputtering, said intermediate film not being deposited above or beneath a titanium-oxide-based film, the physical thickness of said intermediate film being 30 nm or less; and at least part of the surface of said intermediate film is oxidized using a heat treatment, during which said intermediate film is in direct contact with an oxidizing atmosphere, especially air, the temperature of said substrate during said heat treatment not exceeding 150° C.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: April 21, 2015
    Assignee: Saint-Gobain Glass France
    Inventors: Andriy Kharchenko, Anne Durandeau, Nicolas Nadaud
  • Patent number: 8936702
    Abstract: There is provided a system and method for sputtering a tensile silicon nitride film. More specifically, in one embodiment, there is provided a method comprising introducing nitrogen gas into a process chamber, wherein the process chamber includes a target comprising silicon, placing the process chamber into a transition region between a metallic region and a poisoned region, and applying a voltage to the target.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: January 20, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Allen McTeer
  • Patent number: 8900421
    Abstract: A method of fabricating a variable resistance layer of a resistance memory is disclosed. The method includes placing a substrate in a sputtering chamber that has a copper target and a silicon oxide (SiO2) target or has a complex target made from copper and silicon oxide therein. Thereafter, a co-sputtering process is performed by using the copper target and the silicon oxide target, or a sputtering process is performed by using the complex target, so that a compound film is deposited on a surface of the substrate, wherein the compound film serves as a variable resistance layer of a resistance memory, and the mole percentage of Cu/(Cu+Si) of the compound film is 1-15%.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: December 2, 2014
    Assignee: National Taiwan University of Science and Technology
    Inventors: Shyan-kay Jou, Chia-Jen Li
  • Patent number: 8815060
    Abstract: A method for applying a protective layer to an electronic device such as the ABS of a slider, magnetic head, etc. for reducing paramagnetic deadlayer thickness includes selecting an etching angle for minimizing formation of a paramagnetic deadlayer at an interface of an electronic device and an adhesive layer subsequently formed on the electronic device, etching a surface of an electronic device at the selected angle, the selected angle being less than about 75 degrees from an imaginary line extending perpendicular to the surface, forming an adhesive layer on the etched surface of the electronic device, and forming a protective layer on the adhesive layer. A magnetic head formed by the process is also disclosed.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: August 26, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Eric Wayne Flint, Ning Shi, Qi-Fan Xiao
  • Publication number: 20140216924
    Abstract: A process for manufacturing a transparent body for use in a touch panel is provided. The process includes: The process includes depositing a first transparent layer stack over a substrate with a first silicon-containing dielectric film, a second silicon-containing dielectric film, and a third silicon-containing dielectric film. The first and the third silicon-containing dielectric films have a low refractive index and the second silicon-containing dielectric film has a high refractive index. The process further includes depositing a transparent conductive film in a manner such that the first transparent layer stack and the transparent conductive film are disposed over the substrate in this order. At least one of the first silicon-containing dielectric film, the second silicon-containing dielectric film, the silicon-containing third dielectric film, or the transparent conductive film is deposited by sputtering from a target.
    Type: Application
    Filed: September 7, 2011
    Publication date: August 7, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Hans-Georg Lotz
  • Patent number: 8790982
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then reoxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: July 29, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Patent number: 8715472
    Abstract: A substrate processing method may include forming a plasma; extracting ions from the plasma and accelerating the ions to have uniform or substantially uniform directivity using a grid system; irradiating the ions at a reflector, wherein the reflector includes a plurality of reflecting plates each having a metal plate and an insulating layer on the metal plate, wherein the reflecting plates are parallel or substantially parallel such that the insulating layers are exposed to the ions; reflecting the ions incident on the reflecting plates away from the insulating layers of the reflecting plates; colliding the ions reflected away from the insulating layers with the metal plates to convert the ions into neutral beams; and irradiating the neutral beams onto a substrate to process the substrate.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: May 6, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Wook Hwang, Chul-Ho Shin
  • Patent number: 8673404
    Abstract: An object of the present invention is to provide a barrier film having the extremely high barrier property and the better transparency, a method for manufacturing the same, and a laminated material, a container for wrapping and an image displaying medium using the barrier film. According to the present invention, there is provided a barrier film provided with a barrier layer on at least one surface of a substrate film, wherein the barrier layer is a silicon oxide film having an atomic ratio in a range of Si:O:C=100:160 to 190:30 to 50, a peak position of infrared-ray absorption due to Si—O—Si stretching vibration between 1030 to 1060 cm?1, a film density in a range of 2.5 to 2.7 g/cm3, and a distance between grains of 30 nm or shorter.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: March 18, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Minoru Komada
  • Patent number: 8652306
    Abstract: To provide a method for manufacturing a mask blank capable of manufacturing a high quality mask blank that suppresses generation of defects in a thin film for forming a mask pattern with high yields, a method for manufacturing a transfer mask that manufactures the thin film of the mask blank by patterning, and a sputtering target used for manufacturing the mask blank. By using the sputtering target containing silicon and having a hardness of 900 HV or more in Vickers' hardness, the thin film for forming the mask pattern on a substrate is formed by sputtering, and the high quality mask blank that suppresses generating of defects is manufactured, and further the transfer mask is manufactured by patterning the thin film.
    Type: Grant
    Filed: August 19, 2003
    Date of Patent: February 18, 2014
    Assignee: Hoya Corporation
    Inventor: Masaru Mitsui
  • Patent number: 8603304
    Abstract: A method for making nickel silicide nano-wire, the method includes the following steps. Firstly, a silicon substrate and a growing device, and the growing device including a reacting room are provided. Secondly, a silicon dioxide layer is formed on a surface of the silicon substrate. Thirdly, a titanium layer is formed on the silicon dioxide layer. Fourthly, the silicon substrate is placed into the reacting room, and the reacting room is heated to a temperature of 500˜1000° C. Finally, a plurality of nickel cluster is formed onto the surface of the silicon substrate.
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: December 10, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Hai-Lin Sun, Kai-Li Jiang, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 8597474
    Abstract: Titanium and aluminum cathode targets are disclosed for sputtering absorbing coatings of titanium and aluminum-containing materials in atmospheres comprising inert gas, reactive gases such as nitrogen, oxygen, and mixtures thereof, which can further comprise inert gas, such as argon, to form nitrides, oxides, and oxynitrides, as well as metallic films. The titanium and aluminum-containing coatings can be utilized as an outer coat or as one or more coating layers of a coating stack.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: December 3, 2013
    Assignee: PPG Industries Ohio, Inc.
    Inventor: James J. Finley
  • Patent number: 8568890
    Abstract: A watch cover glass having high hardness and excellent abrasion, and also having flaw resistance and antireflection function even after being used for a long period of time. The watch cover glass comprises a transparent substrate and, provided on at least one surface of the substrate, an antireflection film having a lamination structure that a SiON film (SiO2 and Si3N4 mixed film) and a Si3N4 film are laminated and the outermost layer is the SiON film. Accordingly, the proper antireflection effect can be obtained, the hardness of the antireflection film is increased and the abrasion resistance is remarkably increased. As a result, even after being used for a long period of time, the surface of the antireflection film is not finely flawed and is not peeled off, and it hardly occurs that the hands or dial plate are invisible due to surface mist and the antireflection function can be maintained.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: October 29, 2013
    Assignee: Citizen Holdings Co., Ltd.
    Inventors: Yasushi Murata, Koutarou Takazaki
  • Patent number: 8460803
    Abstract: Disclosed is a crystalline hard coating layer having no cracks, which exhibits both high hardness and excellent wear resistance at the same time. A method for forming the hard coating layer is also disclosed. A crystalline hard coating layer (3) coating a substrate (2) is formed by a PVD method, and contains Si and C as essential components, while containing an element M (which is one or more elements selected from among group 3A elements, group 4A elements, group 5A elements, group 6A elements, B, Al and Ru) and N as optional components. The crystalline hard coating layer (3) has the following composition: SixC1-x-y-zNyMz (where 0.4?x?0.6, 0?y?0.1, and 0?z?0.2).
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: June 11, 2013
    Assignees: Kabushiki Kaisha Kobe Seiko Sho, Iscar Ltd.
    Inventors: Kenji Yamamoto, Albir A. Layyous
  • Patent number: 8425738
    Abstract: The present invention refers to a coating device for depositing of barrier layers on a plastic substrate comprising a first coating station for depositing a first layer comprising a metal and a second coating station for depositing a second layer comprising a resin, wherein a treatment station for treating the deposited first layer is arranged between the first and the second coating stations which comprises sputter means for depositing one or several atomic layers or isles of deposition material. The invention further refers to an appropriate method which can be carried out by the coating device and to a layer system produced thereby.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: April 23, 2013
    Assignees: Applied Materials, Inc., Biofilm S.A.
    Inventors: Gerd Hoffman, Alexandra L. Quiceno
  • Patent number: 8414747
    Abstract: Methods are provided of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber. The substrate has a gap formed between adjacent raised surfaces. A silicon-containing gas, an oxygen-containing gas, and a fluent gas are flowed into the substrate processing chamber. The fluent gas has an average molecular weight less than 5 amu. A first high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a first deposition/sputter ratio.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: April 9, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Bo Qi, Young S. Lee
  • Publication number: 20130071600
    Abstract: An optical information recording medium including three or more information layers, wherein at least one information layer provided on the light incident side is an information layer which enables information to be overwritten and includes a recording film, a transmittance adjusting film of a dielectric containing Bi, Ti and O, and an isolation film in this order from a light incident side; and the isolation film is provided between and adjacent to the transmittance adjusting film and an intermediate separation layer which separate the information layer from another information layer on the side opposite to the light incident side; and the isolation film has an optical constant at a wavelength of 405 nm such that a refractive index is 1.8 or less and an extinction coefficient is 0.05 or less. This information recording medium prevents the repeated overwriting characteristics in a sever environment from being deteriorated.
    Type: Application
    Filed: February 24, 2012
    Publication date: March 21, 2013
    Inventors: Akio Tsuchino, Takashi Nishihara, Hideo Kusada, Rie Kojima, Noboru Yamada
  • Patent number: 8388815
    Abstract: A coated article includes a substrate, a catalyst layer, a bonding layer and a hydrophobic layer. The catalyst layer made of tin is formed on the substrate. The bonding layer is formed on the catalyst layer, including titanium, tin, stannic oxide and titanium dioxide. The hydrophobic layer made of silicon-nitrogen is formed on the bonding layer.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: March 5, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Hsin-Pei Chang, Wen-Rong Chen, Huann-Wu Chiang, Cheng-Shi Chen, Jia Huang
  • Patent number: 8377265
    Abstract: A method of forming an inorganic insulating layer on a substrate comprises supplying a mixed gas between the substrate and a target, and generating a plasma between the substrate and the target. The target comprises a silicon-based material. The method further comprises depositing a plurality of ions from the plasma on the substrate.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: February 19, 2013
    Assignee: LG Display Co., Ltd.
    Inventors: Joo-Soo Lim, Hong-Sik Kim, Hee-Young Kwack, Hyun-Seok Hong, Byung-Chul Ahn, Byoung-Ho Lim
  • Patent number: 8367453
    Abstract: When a layered structure of a transparent electrode layer and a metal layer is formed as a back side electrode layer over a surface on a side opposite to a side of incidence of light of a thin film solar battery, a time when formation of the transparent electrode layer is completed and a time when formation of the metal layer is started are made to coincide for one substrate.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: February 5, 2013
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Kazushige Kaneko
  • Patent number: 8357267
    Abstract: The present invention has been achieved to provide a method and apparatus for speedily and homogeneously fabricating polycrystalline silicon films or similar devices at low cost. A silicon target is attached to a water-cooled electrode, while a substrate made of a desired material is set on the other, heated electrode. When atmospheric pressure hydrogen plasma is generated between the two electrodes, silicon atoms will be released from the low-temperature target on the side and deposited on the high-temperature substrate. A doped silicon film can be created by using a target containing a doping element. Since there is no need to handle expensive and harmful gases (e.g. SiH4, B2H6 and PH3), the apparatus can be installed and operated at lower costs. In an application of the film producing method according to the present invention, an objective substance can be selectively purified from a target containing a plurality of substances.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: January 22, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hiromasa Ohmi, Kiyoshi Yasutake, Hiroaki Kakiuchi
  • Patent number: 8349146
    Abstract: A method for making nickel silicide nano-wire, the method includes the following steps. Firstly, providing a silicon substrate and a growing device, and the growing device including a reacting room. Secondly, forming a silicon dioxide layer on a surface of the silicon substrate. Thirdly, forming a titanium layer on the silicon dioxide layer. Fourthly, placing the silicon substrate into the reacting room, and heating the reacting room to a temperature of 500˜1000° C. Finally, forming a plurality of nickel cluster onto the surface of the silicon substrate.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: January 8, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Hai-Lin Sun, Kai-Li Jiang, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 8330556
    Abstract: An acoustic resonator, comprises a substrate and a first passivation layer disposed over the substrate. The first passivation layer comprises a first layer of silicon carbide (SiC). The acoustic resonator further comprises a first electrode disposed over the passivation layer, a second electrode, and a piezoelectric layer disposed between the first and second electrodes. The acoustic resonator comprises a second passivation layer disposed over the second electrode. The second passivation layer comprises a second layer of silicon carbide (SiC).
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: December 11, 2012
    Assignee: Avago Technologies Wireless IP (Singapore) Pte. Ltd.
    Inventors: Daniel J. Miller, Martha Johnson
  • Patent number: 8173474
    Abstract: When a layered structure of a transparent electrode layer and a metal layer is formed as a back side electrode layer over a surface on a side opposite to a side of incidence of light of a thin film solar battery, a time when formation of the transparent electrode layer is completed and a time when formation of the metal layer is started are made to coincide for one substrate.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: May 8, 2012
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Kazushige Kaneko
  • Publication number: 20120107606
    Abstract: An article includes a substrate made of aluminum or aluminum alloy, an insulating coating formed on the substrate, and an anticorrosive coating formed on the insulating coating. The insulating coating is composed of electrically insulating ceramic material or polymer. The anticorrosive coating is a ceramic coating formed by physical vapor deposition.
    Type: Application
    Filed: July 12, 2011
    Publication date: May 3, 2012
    Applicants: HON HAI PRECISION INDUSTRY CO., LTD., HONG FU JIN PRECISION INDUSTRY (ShenZhen) CO., LTD.
    Inventors: HSIN-PEI CHANG, WEN-RONG CHEN, HUANN-WU CHIANG, CHENG-SHI CHEN, MAN-XI ZHANG
  • Patent number: 8152972
    Abstract: A method of the present invention for forming fine particles includes forming fine particles on a substrate by supplying, in the presence of inert gas, to the substrate, atoms or molecules of a supply material capable of being combined with a material constituting a surface of the substrate to produce a compound, the atoms or the molecules being supplied from a supply source. The supply source is positioned in such a manner as not to be directly connected by a line with the surface of the substrate where the fine particles are to be formed, and a high-frequency voltage varying positively and negatively, ranging from 100 kHz to 100 MHz, is applied to at least one of the substrate and a substrate supporter for supporting the substrate. This realizes a method for forming fine particles that allows forming highly uniformed magnetic fine particles with a periodic pattern through a simple process at a time.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: April 10, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Noboru Iwata, Yoshiteru Murakami
  • Patent number: 8105466
    Abstract: A biased pulse DC reactor for sputtering of oxide films is presented. The biased pulse DC reactor couples pulsed DC at a particular frequency to the target through a filter which filters out the effects of a bias power applied to the substrate, protecting the pulsed DC power supply. Films deposited utilizing the reactor have controllable material properties such as the index of refraction. Optical components such as waveguide amplifiers and multiplexers can be fabricated using processes performed on a reactor according to the present invention.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: January 31, 2012
    Assignee: SpringWorks, LLC
    Inventors: Hongmei Zhang, Mukundan Narasimhan, Ravi B. Mullapudi, Richard E. Demaray
  • Patent number: 8066853
    Abstract: A method of forming an inorganic alignment film made substantially of an inorganic material on a base substrate is provided comprising a milling process of irradiating ion beams onto the surface of the base substrate, on which the inorganic alignment film is to be formed, from a direction inclined at a predetermined angle ?b with respect to a direction vertical to the surface, and a film-forming process of forming the inorganic alignment film on the base substrate onto which the ion beams are irradiated. In the milling process, the predetermined angle ?b is preferably 2° or more. In the milling process, an acceleration voltage of the ion beams during the irradiation of the ion beams is preferably 400 to 1400 V.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: November 29, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Hidenobu Ota, Yukihiro Endo, Osamu Iwamoto
  • Publication number: 20110284366
    Abstract: A coated article that can be used in applications such as insulating glass (IG) units, so that resulting IG units can achieve high visible transmission of at least 70% (e.g., when using clear glass substrates from 1.0 to 3.5 mm thick), combined with at least one of: (a) SHGC no greater than about 0.45, more preferably no greater than about 0.40; (b) SC no greater than about 0.49, more preferably no greater than about 0.46; (c) chemical and/or mechanical durability; (d) neutral transmissive color such that transmissive a* is from ?5.0 to 0 (more preferably from ?3.5 to ?1.5), and transmissive b* is from ?2.0 to 4.0 (more preferably from 1.0 to 3.0); and (e) neutral reflective color from the exterior of the IG unit (i.e., Rg/Rout) such that reflective a* is from ?3.0 to 2.0 (more preferably from ?2.0 to 0.5), and reflective b* is from ?5.0 to 1.0 (more preferably from ?4.0 to ?1.0).
    Type: Application
    Filed: July 28, 2011
    Publication date: November 24, 2011
    Applicants: C.R.V.C., Guardian Industries Corp.
    Inventors: Ronald E. Laird, Carole Laird, Uwe Kriltz
  • Patent number: 8043488
    Abstract: The invention relates to a rotatable sputter target and to a method to manufacture such a sputter target. The sputter target comprises a target material and a magnet array located at the interior of the target material. The magnet array defines a central zone extending along the major part of the length of the target material and defines an end zone at each end of the central zone. The target material comprises a first material and a second material. The target material comprises the first material at least on the central zone and comprises the second material at least on the end zones. The second material has a lower sputter deposition rate than the first material. The second material is preferably applied by thermal spraying. The first material comprises a first element and the second material comprises a compound of the first element of the first material.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: October 25, 2011
    Assignee: Bekaert Advanced Coatings
    Inventor: Wilmert De Bosscher
  • Patent number: 7998324
    Abstract: A Si sputtering target that in the measurement of crystal face orientation of sputtering surface according to X-ray diffractometry, exhibits a ratio of peak intensity of (111) face (I(111)) to peak intensity of (220) face (I(220)) of Si, (I(111)/I(220)), falling within the range of 1.8±0.3. The Si sputtering target comprises, for example, an Si sintered material of 70 to 95% relative density. With respect to sputtering films such as Si oxide film, the film thickness characteristics, film formation cost, etc. can be improved by the use of this Si sputtering target.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: August 16, 2011
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Materials Co., Ltd.
    Inventors: Koichi Watanabe, Yukinobu Suzuki, Takashi Ishigami
  • Patent number: 7988835
    Abstract: There are provided a method and an apparatus which form silicon dots having substantially uniform particle diameters and exhibiting a substantially uniform density distribution directly on a substrate at a low temperature. A hydrogen gas (or a hydrogen gas and a silane-containing gas) is supplied into a vacuum chamber (1) provided with a silicon sputter target (e.g., target 30), or the hydrogen gas and the silane-containing gas are supplied into the chamber (1) without arranging the silicon sputter target therein, a high-frequency power is applied to the gas(es) so that plasma is generated such that a ratio (Si(288 nm)/H?) between an emission intensity Si(288 nm) of silicon atoms at a wavelength of 288 nm and an emission intensity H? of hydrogen atoms at a wavelength of 484 nm in plasma emission is 10.0 or lower, and preferably 3.0 or lower, or 0.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: August 2, 2011
    Assignees: Nissin Electric Co., Ltd., EMD Corporation
    Inventors: Eiji Takahashi, Takashi Mikami, Shigeaki Kishida, Kenji Kato, Atsushi Tomyo, Tsukasa Hayashi, Kiyoshi Ogata, Yuichi Setsuhara