Glow Discharge Sputter Deposition (e.g., Cathode Sputtering, Etc.) Patents (Class 204/192.12)
  • Patent number: 11967484
    Abstract: A pulse generator is disclosed. The pulse generator includes a DC source; a plurality of switches, a transformer; and a pulsing output. The pulse generator can be coupled with a plasma chamber. The pulsing output outputs high voltage pulses having a peak-to-peak voltage greater than 1 kV and a voltage portion between consecutive high voltage bipolar pulses that has a negative slope that substantially offsets the voltage reduction on a wafer within a plasma chamber due to an ion current. The resulting voltage at the wafer may be substantially flat between consecutive pulses.
    Type: Grant
    Filed: October 4, 2021
    Date of Patent: April 23, 2024
    Assignee: Eagle Harbor Technologies, Inc.
    Inventors: Christopher Bowman, Connor Liston, Kenneth Miller, Timothy Ziemba
  • Patent number: 11915865
    Abstract: A movable-side magnet is provided to a movable portion in a vacuum chamber. A drive unit is provided outside the vacuum chamber, and drives the movable portion by exerting magnetic force on the movable-side magnet. The drive unit has a first magnet, a second magnet, and a moving mechanism (moving member). The first magnet exerts magnetic force of attracting the movable-side magnet. The second magnet is provided to be adjacent to the first magnet, and exerts magnetic force of repelling the movable-side magnet. The moving mechanism integrally moves the first magnet and the second magnet.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: February 27, 2024
    Assignee: SHIMADZU CORPORATION
    Inventors: Masaji Furuta, Kei Kodera, Kiyoshi Watanabe
  • Patent number: 11913109
    Abstract: A magnet assembly is disclosed for steering ions used in the formation of a material layer upon a substrate during a pulsed DC physical vapour deposition process. Apparatus and methods are also disclosed incorporating the assembly for controlling thickness variation in a material layer formed via pulsed DC physical vapour deposition. The magnet assembly comprises a magnetic field generating arrangement for generating a magnetic field proximate the substrate and means for rotating the ion steering magnetic field generating arrangement about an axis of rotation, relative to the substrate. The magnetic field generating arrangement comprises a plurality of magnets configured to an array which extends around the axis of rotation, wherein the array of magnets are configured to generate a varying magnetic field strength along a radial direction relative to the axis of rotation.
    Type: Grant
    Filed: August 15, 2019
    Date of Patent: February 27, 2024
    Assignee: SPTS TECHNOLOGIES LIMITED
    Inventors: Tony Wilby, Steve Burgess, Adrian Thomas, Rhonda Hyndman, Scott Haymore, Clive Widdicks, Ian Moncrieff
  • Patent number: 11905590
    Abstract: The present disclosure enables high-resolution direct patterning of a material on a substrate by establishing and maintaining a separation between a shadow mask and a substrate based on the thickness of a plurality of standoffs. The standoffs function as a physical reference that, when in contact between the substrate and shadow mask determine the separation between them. Embodiments are described in which the standoffs are affixed to an element selected from the shadow mask, the substrate, the mask chuck, and the substrate chuck.
    Type: Grant
    Filed: May 28, 2021
    Date of Patent: February 20, 2024
    Assignee: eMagin Corporation
    Inventors: Evan P. Donoghue, Fridrich Vazan, Kerry Tice, Ilyas I. Khayrullin, Tariq Ali, Qi Wang, Laurie Sziklas, Amalkumar P. Ghosh
  • Patent number: 11894222
    Abstract: A film forming apparatus for forming a film on a substrate by using a magnetron sputtering method. The film forming apparatus includes: a substrate holder configured to hold a substrate; a target holder configured to hold a target made of a ferromagnetic material to face the substrate holder; a magnet provided on a surface of the target holder opposite to the substrate holder, and configured to leak a magnetic field to a front surface of the target held by the target holder that is a surface close to the substrate holder; and a magnetic field strength measurement device configured to measure a strength of the magnetic field.
    Type: Grant
    Filed: June 22, 2021
    Date of Patent: February 6, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Atsushi Takeuchi, Toru Kitada, Kanto Nakamura, Atsushi Gomi
  • Patent number: 11885009
    Abstract: A method of making a thin film can include bombarding a substrate with first ions supplied from a first ion beam; and sputtering from a metal sputtering target substantially simultaneously with the bombardment to deposit a metal-ion film onto the substrate, wherein the method is performed without applied heat, and the metal sputtering target comprises one or more of a metal, a transition metal, a semi-metal, alloys thereof and combinations thereof.
    Type: Grant
    Filed: February 12, 2019
    Date of Patent: January 30, 2024
    Assignee: UCHICAGO ARGONNE, LLC
    Inventors: Tomas Polakovic, Valentine Novosad
  • Patent number: 11887826
    Abstract: A semiconductor manufacturing apparatus according to an embodiment includes a stage, a backing plate and an earth shield. The stage is configured to hold a substrate that a film is to be deposited on. The backing plate faces the stage and is configured such that a target containing a film deposition material is to be joined. The earth shield has an opening configured to enclose the target, and a plurality of through holes provided over a whole circumference of a circumferential part of the opening.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: January 30, 2024
    Assignee: Kioxia Corporation
    Inventors: Takashi Izumi, Akitsugu Hatazaki
  • Patent number: 11869750
    Abstract: A plasma processing apparatus according to an exemplary embodiment includes a chamber, a member, and a heater. Plasma is generated in an internal space of the chamber. The member is partially located in the internal space of the chamber. The heater is configured to heat the member. The member extends outward from the internal space of the chamber and is exposed to a space outside the chamber.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: January 9, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yusuke Hayasaka, Takehiro Tanikawa, Shuhei Yamabe, Yuki Machida, Jun Young Chung
  • Patent number: 11870106
    Abstract: Layers for a bipolar plates are disclosed, as well as bipolar plates including the layers and fuel cells and/or electrolyzers including the bipolar plates. The layer may include a homogeneous or heterogeneous solid metallic solution or compound which either contains a first chemical element from the group of the noble metals in the form of iridium; or contains a first chemical element from the group of the noble metals in the form of iridium and a second chemical element from the group of the noble metals in the form of ruthenium. The layer may also include at least one further nonmetallic chemical element from the group consisting of nitrogen, carbon, boron, fluorine, and hydrogen.
    Type: Grant
    Filed: February 17, 2021
    Date of Patent: January 9, 2024
    Assignee: Schaeffler Technologies AG & Co. KG
    Inventors: Ladislaus Dobrenizki, Tim Hosenfeldt, Yashar Musayev, Detlev Repenning
  • Patent number: 11862443
    Abstract: A sputtering target comprising a target material, wherein a sputtering face of the target material has a ramp provided to reduce a thickness of the target material at a position where erosion concentrates most intensively during sputtering.
    Type: Grant
    Filed: November 2, 2020
    Date of Patent: January 2, 2024
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Toshiaki Kuroda, Mikio Takigawa
  • Patent number: 11854776
    Abstract: In an embodiment, a magnetic assembly includes: an inner permeance annulus; and an outer permeance annulus connected to the inner permeance annulus via magnets, wherein the outer permeance annulus comprises a peak region with a thickness greater than other regions of the outer permeance annulus.
    Type: Grant
    Filed: July 28, 2022
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Jen Yang, Yi-Zhen Chen, Chih-Pin Wang, Chao-Li Shih, Ching-Hou Su, Cheng-Yi Huang
  • Patent number: 11851751
    Abstract: A deposition system is provided capable of cleaning itself by removing a target material deposited on a surface of a collimator. The deposition system in accordance with the present disclosure includes a substrate process chamber. The deposition includes a substrate pedestal in the substrate process chamber, the substrate pedestal configured to support a substrate, a target enclosing the substrate process chamber, and a collimator having a plurality of hollow structures disposed between the target and the substrate, a vibration generating unit, and cleaning gas outlet.
    Type: Grant
    Filed: July 23, 2021
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Hao Cheng, Hsuan-Chih Chu, Yen-Yu Chen
  • Patent number: 11846013
    Abstract: An apparatus leverages a physical vapor deposition (PVD) process chamber with a wafer-to-target distance of approximately 400 millimeters to deposit tantalum film on through silicon via (TSV) structures. The PVD process chamber includes a source that is configured with dual magnet source compensation. The PVD chamber also includes an upper electromagnet assembly exterior to the chamber body in close proximity to the source, a magnetron assembly in the source including dual magnets with dual radius trajectories, a shield within the chamber body, and a plurality of grounding loops that are symmetrically spaced about a periphery of a substrate support assembly and are configured to provide an RF ground return path between the substrate support assembly and the shield.
    Type: Grant
    Filed: July 31, 2020
    Date of Patent: December 19, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: David Gunther, Jiao Song, Kirankumar Neelasandra Savandaiah, Irena H. Wysok, Anthony Chih-Tung Chan
  • Patent number: 11848179
    Abstract: In a magnetron sputtering reaction space a magnetron magnetic field is generated. A further magnetic field is generated in the reaction space whereby a resultant magnetic field has a directional component parallel to a target plane which is larger than the directional component of the magnetron magnetic field parallel to the target plane in the reaction space.
    Type: Grant
    Filed: September 16, 2022
    Date of Patent: December 19, 2023
    Assignee: EVATEC AG
    Inventors: Hartmut Rohrmann, Claudiu Valentin Falub, Martin Bless
  • Patent number: 11827972
    Abstract: An object of the present invention is to provide an IGZO sputtering target capable of improving uniformity for at least one property selected from the number of microcracks in the structure, the number of pores in the sintered body structure, and surface roughness. The IGZO sputtering target according to the present invention has an oxide sintered body, the oxide sintered body comprising indium (In), gallium (Ga), zinc (Zn) and unavoidable impurities, wherein, on a surface of the oxide sintered body, a lightness difference ?L* satisfies ?L*<3.0, in which the ?L* is obtained by subtracting lightness Lc*at a central portion on the surface from lightness Le* at a position of 10 mm from an end portion to the central portion side on the surface, and wherein the oxide sintered body has a relative density of 97.0% or more.
    Type: Grant
    Filed: December 28, 2021
    Date of Patent: November 28, 2023
    Assignee: JX Metals Corporation
    Inventors: Yuhei Kuwana, Kozo Osada, Jun Kajiyama, Kazutaka Murai
  • Patent number: 11814718
    Abstract: The invention relates to a method for producing substrates having a plasma coated surface made of a dielectric coating material in a vacuum chamber, having an AC-powered plasma device, comprising moving a substrate relative to the plasma device by means of a movement device along a curve, and depositing coating material on a surface of the substrate in a coating region along a trajectory lying on the surface of the substrate using the plasma device.
    Type: Grant
    Filed: March 31, 2016
    Date of Patent: November 14, 2023
    Assignee: Bühler Alzenau GmbH
    Inventors: Jürgen Pistner, Harro Hagedorn
  • Patent number: 11817312
    Abstract: A method, apparatus and system for processing a wafer in a plasma chamber system, which includes at least a plasma generating element and a biasing electrode, include generating a plasma in the plasma chamber system by applying a source RF source power to the plasma generating element for a first period of time of a pulse period of the RF source power, after the expiration of the first period of time, removing the source RF source power, after a delay after the removal of the RF source power, applying an RF bias signal to the biasing electrode for a second period of time to bias the generated plasma towards the wafer, and after the expiration of the second period of time, removing the RF bias signal from the biasing electrode before a next pulse period of the RF source power. The generated plasma biased toward the wafer is used to process the wafer.
    Type: Grant
    Filed: October 29, 2018
    Date of Patent: November 14, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Akhil Mehrotra, Vinay Shankar Vidyarthi, Daksh Agarwal, Samaneh Sadighi, Jason Kenney, Rajinder Dhindsa
  • Patent number: 11810770
    Abstract: Methods and apparatus for processing substrates are disclosed. In some embodiments, a process chamber for processing a substrate includes: a body having an interior volume and a target to be sputtered, the interior volume including a central portion and a peripheral portion; a substrate support disposed in the interior volume opposite the target and having a support surface configured to support the substrate; a collimator disposed in the interior volume between the target and the substrate support; a first magnet disposed about the body proximate the collimator; a second magnet disposed about the body above the support surface and entirely below the collimator and spaced vertically below the first magnet; and a third magnet disposed about the body and spaced vertically between the first magnet and the second magnet. The first, second, and third magnets are configured to generate respective magnetic fields to redistribute ions over the substrate.
    Type: Grant
    Filed: September 30, 2021
    Date of Patent: November 7, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xiaodong Wang, Joung Joo Lee, Fuhong Zhang, Martin Lee Riker, Keith A. Miller, William Fruchterman, Rongjun Wang, Adolph Miller Allen, Shouyin Zhang, Xianmin Tang
  • Patent number: 11798784
    Abstract: Methods and apparatus for processing a substrate in a multi-frequency plasma processing chamber are disclosed. The base RF signal pulses between a high power level and a low power level. Each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined power level and a second predefined power level as the base RF signal pulses. Alternatively or additionally, each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined RF frequency and a second predefined RF frequency as the base RF signal pulses. Techniques are disclosed for ascertaining in advance of production time the first and second predefined power levels and/or the first and second predefined RF frequencies for the non-base RF signals.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: October 24, 2023
    Assignee: Lam Research Corporation
    Inventors: John C. Valcore, Jr., Bradford J. Lyndaker
  • Patent number: 11795542
    Abstract: An apparatus and a method for coating workpieces with thermal barrier coatings. A manipulator shaft is guided here through a duct equipped with telescopic segments into the process chamber. The invention permits a particularly compact configuration of the plant.
    Type: Grant
    Filed: January 11, 2018
    Date of Patent: October 24, 2023
    Assignee: ALD VACUUM TECHNOLOGIES GMBH
    Inventors: Jörg Wittich, Simon Oberle, Jürgen Hotz
  • Patent number: 11793044
    Abstract: Provided is a display device. The display device includes: a substrate; a gate line disposed on the substrate; a transistor including a part of the gate line; and a light-emitting element connected to the transistor, in which the gate line includes a first layer including aluminum or an aluminum alloy, a second layer including titanium nitride, and a third layer including metallic titanium nitride. An N/Ti molar ratio of the metallic titanium nitride may be in a range from about 0.2 to about 0.75.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: October 17, 2023
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Dong Min Lee, Sang Woo Sohn, Do Keun Song, Sang Won Shin, Hyun Eok Shin, Su Kyoung Yang, Kyeong Su Ko, Sang Gab Kim, Joon Geol Lee
  • Patent number: 11782105
    Abstract: In one aspect, a method includes forming a coil in a coil layer, performing planarization on the coil layer, and depositing a magnetoresistance (MR) element on the planarized coil layer. No dielectric material is between the planarized coil layer and the MR element. In another aspect, a magnetic field sensor includes a substrate, a planarized coil layer comprising a coil on the substrate, a magnetoresistance (MR) element in contact with the planarized coil layer, and a capping layer deposited over the MR element and the planarized coil layer. No dielectric material is between the planarized coil layer and the MR element.
    Type: Grant
    Filed: January 17, 2022
    Date of Patent: October 10, 2023
    Assignee: Allegro MicroSystems, LLC
    Inventors: Maxim Klebanov, Yen Ting Liu, Paolo Campiglio, Sundar Chetlur, Harianto Wong
  • Patent number: 11784033
    Abstract: Methods and apparatus for processing a substrate are provided herein. For example, a method for processing a substrate comprises applying a DC target voltage to a target disposed within a processing volume of a plasma processing chamber, rotating a magnet disposed above the target at a default speed to direct sputter material from the target toward a substrate support disposed within the processing volume, measuring in-situ DC voltage in the processing volume, the in-situ DC voltage different from the DC target voltage, determining if a measured in-situ DC voltage is greater than a preset value, if the measured in-situ DC voltage is less than or equal to the preset value, maintaining the magnet at the default speed, and if the measured in-situ DC voltage is greater than the preset value, rotating the magnet at a speed less than the default speed to decrease the in-situ DC voltage.
    Type: Grant
    Filed: May 28, 2021
    Date of Patent: October 10, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mengxue Wu, Siew Kit Hoi, Jay Min Soh, Yue Cui, Chul Nyoung Lee, Palaniappan Chidambaram, Jiao Song
  • Patent number: 11761063
    Abstract: Disclosed is a method for preparing a high-entropy alloy composites reinforced by diamond particles, belonging to the technical field of metal composites preparation. A vacuum coating preparation equipment equipped with an in-situ heating sample stage is used to perform a treatment of high-entropy alloy metallizing coating on the surface of diamond particles to generate modified diamond particles, where the alloy of the coating includes any four, five or six elements among seven elements of Ti, Zr, Hf, Nb, Ta, W and Mo in an equal atomic proportion. The obtained coating generates carbide film in-situ with the surface layer of diamond particles, while the high-entropy alloy covers the carbide film, ensuring a strong bond with the diamond, and the high-entropy alloy composites reinforced by diamond particles are finally prepared.
    Type: Grant
    Filed: January 17, 2023
    Date of Patent: September 19, 2023
    Assignee: TAIYUAN UNIVERSITY OF TECHNOLOGY
    Inventors: Yongsheng Wang, Shengwang Yu, Changxing Han, Naixu Wang, Bing Zhou, Yong Ma, Jie Gao, Hongjun Hei, Yanxia Wu
  • Patent number: 11765515
    Abstract: The present disclosure relates to a magnetic circuit assembly of a bone conduction speaker. The magnetic circuit assembly may generate a first magnetic field. The magnetic circuit assembly may include a first magnetic element, and the first magnetic element may generate a second magnetic field. The magnetic circuit may further include a first magnetic guide element and at least one second magnetic element. The at least one second magnetic element may be configured to surround the first magnetic element and a magnetic gap may be configured between the second magnetic element and the first magnetic element. A magnetic field strength of the first magnetic field within the magnetic gap may exceed a magnetic field strength of the second magnetic field within the magnetic gap.
    Type: Grant
    Filed: March 25, 2022
    Date of Patent: September 19, 2023
    Assignee: SHENZHEN SHOKZ CO., LTD.
    Inventors: Lei Zhang, Fengyun Liao, Xin Qi
  • Patent number: 11754691
    Abstract: A target measurement device is provided. The target measurement device includes a fixing ring, a main body, and a transceiver. The fixing ring has a first surface. The main body is over the first surface of the fixing ring. The transceiver is coupled to the main body. The transceiver is at least movable between a center of the fixing ring to an edge of the fixing ring from a top view perspective. A method for measuring a target is also provided.
    Type: Grant
    Filed: June 19, 2020
    Date of Patent: September 12, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Pradip Girdhar Chaudhari, Che-Hui Lee, Chih-Cheng Wei, Wen-Cheng Yang, Chyi-Tsong Ni
  • Patent number: 11756773
    Abstract: A plasma processing apparatus includes a balun having a first input terminal, a second input terminal, a first output terminal, and a second output terminal, a vacuum container, a first electrode electrically connected to the first output terminal, a second electrode electrically connected to the second output terminal, and a connection unit configured to electrically connect the vacuum container and ground, the connection unit including an inductor.
    Type: Grant
    Filed: October 28, 2021
    Date of Patent: September 12, 2023
    Assignee: CANON ANELVA CORPORATION
    Inventors: Kazunari Sekiya, Masaharu Tanabe, Tadashi Inoue, Hiroshi Sasamoto, Tatsunori Sato, Nobuaki Tsuchiya
  • Patent number: 11739416
    Abstract: In various embodiments, eroded sputtering targets are partially refurbished by spray-depositing particles of target material to at least partially fill certain regions (e.g., regions of deepest erosion) without spray-deposition within other eroded regions (e.g., regions of less erosion). The partially refurbished sputtering targets may be sputtered after the partial refurbishment without substantive changes in sputtering properties (e.g., sputtering rate) and/or properties of the sputtered films.
    Type: Grant
    Filed: November 15, 2021
    Date of Patent: August 29, 2023
    Assignee: H.C. Starck Solutions Euclid, LLC
    Inventor: Steven A. Miller
  • Patent number: 11735403
    Abstract: A novel metal oxide or a novel sputtering target is provided. A sputtering target includes a conductive material and an insulating material. The insulating material includes an oxide, a nitride, or an oxynitride including an element M1. The element M1 is one or more kinds of elements selected from Al, Ga, Si, Mg, Zr, Be, and B. The conductive material includes an oxide, a nitride, or an oxynitride including indium and zinc. A metal oxide film is deposited using the sputtering target in which the conductive material and the insulating material are separated from each other.
    Type: Grant
    Filed: July 26, 2021
    Date of Patent: August 22, 2023
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Motoki Nakashima, Haruyuki Baba
  • Patent number: 11728226
    Abstract: A deposition system provides a feature that may reduce costs of the sputtering process by increasing a target change interval. The deposition system provides an array of magnet members which generate a magnetic field and redirect the magnetic field based on target thickness measurement data. To adjust or redirect the magnetic field, at least one of the magnet members in the array tilts to focus on an area of the target where more target material remains than other areas. As a result, more ion, e.g., argon ion bombardment occurs on the area, creating more uniform erosion on the target surface.
    Type: Grant
    Filed: August 14, 2020
    Date of Patent: August 15, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Hao Cheng, Hsuan-Chih Chu, Yen-Yu Chen, Yi-Ming Dai
  • Patent number: 11718905
    Abstract: Techniques for depositing a functionally integrated coating structure on a substrate are provided. An example method according to the disclosure includes receiving the substrate into a process chamber of a multi-process ion beam assisted deposition system, disposing the substrate in a first zone including a first evaporator species and a first ion beam, wherein the first evaporator species is Aluminum Oxide (Al2O3), disposing the substrate in a second zone including a second evaporator species and a second ion beam, wherein the second evaporator species is Yttrium Oxide (Y2O3), and disposing the substrate in a third zone including a third evaporator species and a third ion beam, wherein the third evaporator species is Yttrium Fluoride (YF3).
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: August 8, 2023
    Assignee: Technetics Group LLC
    Inventors: Nader Kalkhoran, Eric Tobin, Tim Egge, Jason Burns, Rick Oliver, Angus McFadden, Jason Wright
  • Patent number: 11702746
    Abstract: There is provided a magnetic drive apparatus having a magnetic drive mechanism driven by a magnet. The magnetic drive apparatus includes a magnetizing yoke disposed in the magnetic drive apparatus at a standby position and configured to be moved to magnetize the magnet and a magnetizing yoke holder configured to hold the magnetizing yoke at a magnetizing position for magnetizing the magnet when the magnetic drive mechanism is stopped.
    Type: Grant
    Filed: May 15, 2020
    Date of Patent: July 18, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takeshi Kobayashi
  • Patent number: 11702747
    Abstract: A rotation driving mechanism includes a turntable configured to rotate about a first axis, and a rotating plate disposed along a circumferential direction of the turntable and configured to rotate about a second axis independently of a rotation of the turntable. A driving plate is coaxially disposed with the first axis and is rotatable differently in rotational direction and rotational speed from the rotation of the turntable. A trajectory plate is fixed to the driving plate and disposed in the vicinity of the second axis of the rotating plate. The trajectory plate includes a rolling trajectory groove in a surface. The trajectory groove has a curved shape in a plan view. A horizontal rotating member is coupled to and fixed to the rotating plate and engaged with the rolling trajectory groove. The horizontal rotating member rotates the rotating plate by moving and rolling through the rolling trajectory groove.
    Type: Grant
    Filed: November 20, 2020
    Date of Patent: July 18, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Manabu Honma
  • Patent number: 11688413
    Abstract: An arcing detection system detects arcing within a semiconductor processing cleanroom environment. The arcing detection system includes an array of microphones positioned within the cleanroom environment. The microphones receive soundwaves within the cleanroom environment and generate audio signals based on the sound waves. The arcing system includes a control system that receives the audio signals from the microphones. The control system analyzes the audio signals and detects arcing within the cleanroom environment based on the audio signals. The control system can adjust a semiconductor process in real time responsive to detecting arcing.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: June 27, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chih-Yu Wang
  • Patent number: 11685990
    Abstract: Processing chamber components and methods of manufacture of same are provided herein. In some embodiments, a component part body includes a component part body having a base plane and at least one textured surface region, wherein the at least one textured surface region comprises a plurality of independent surface features having a first side having at least a 45 degree angle with respect to the base plane. In at least some embodiments, the textured surface includes a plurality of independent surface features which are pore free.
    Type: Grant
    Filed: December 8, 2017
    Date of Patent: June 27, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Cariappa Baduvamanda, Ong Pang Yen, Lit Ping Lam
  • Patent number: 11688591
    Abstract: A an apparatus includes a processing chamber configured to house a workpiece, a target holder in the processing chamber, a first magnetic element positioned over a backside of the target holder, a first arm assembly connected to the first magnetic element, a rotational shaft, and a first hinge mechanism connecting the rotational shaft and the first arm assembly.
    Type: Grant
    Filed: July 28, 2022
    Date of Patent: June 27, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Hsi Wang, Kun-Che Ho, Yen-Yu Chen
  • Patent number: 11674214
    Abstract: A deposition mask includes an effective part in which a plurality of openings are provided, and an outer frame part surrounding the effective part. The effective part includes an outer peripheral area that is adjacent to the outer frame part, and a central area which is surrounded by the outer peripheral area and has a thickness larger than a thickness of the outer peripheral area.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: June 13, 2023
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Isao Miyatani, Daigo Aoki, Masato Ushikusa, Yoshinori Murata, Hideyuki Okamoto
  • Patent number: 11676812
    Abstract: A method for fabricating a layer structure in a trench includes: simultaneously forming a dielectric film containing a Si—N bond on an upper surface, and a bottom surface and sidewalls of the trench, wherein a top/bottom portion of the film formed on the upper surface and the bottom surface and a sidewall portion of the film formed on the sidewalls are given different chemical resistance properties by bombardment of a plasma excited by applying voltage between two electrodes between which the substrate is place in parallel to the two electrodes; and substantially removing the sidewall portion of the film by wet etching which removes the sidewall portion of the film more predominantly than the top/bottom portion according to the different chemical resistance properties.
    Type: Grant
    Filed: June 17, 2020
    Date of Patent: June 13, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Dai Ishikawa, Atsuki Fukazawa, Eiichiro Shiba, Shinya Ueda, Taishi Ebisudani, SeungJu Chun, YongMin Yoo, YoonKi Min, SeYong Kim, JongWan Choi
  • Patent number: 11674213
    Abstract: Some embodiments provide a magnetron sputtering apparatus including a vacuum chamber within which a controlled environment may be established, a target comprising one or more sputterable materials, wherein the target includes a racetrack-shaped sputtering zone that extends longitudinally along a longitudinal axis and comprises a straightaway area sandwiched between a first turnaround area and a second turnaround area, a gas distribution system that supplies a first gas mixture to the first turnaround area and/or the second turnaround area and supplies a second gas mixture to the straightaway area, wherein the first gas mixture reduces a sputtering rate relative to the second gas mixture. In some cases, the first gas mixture includes inert gas having a first atomic weight and the second gas mixture includes inert gas having a second atomic weight, wherein the second atomic weight is heavier than the first atomic weight.
    Type: Grant
    Filed: March 29, 2021
    Date of Patent: June 13, 2023
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 11676632
    Abstract: The present invention relates to a magnetic recording medium including a substrate; an underlayer laminated upon the substrate; and a magnetic layer laminated upon the underlayer, wherein the underlayer includes a first underlayer containing a compound represented by a following general formula: MgO(1-X), where X is within a range of 0.07 to 0.25, the magnetic layer includes a first magnetic layer containing an alloy having a L10 structure, and the alloy having the L10 structure includes B, and the first underlayer is in contact with the first magnetic layer.
    Type: Grant
    Filed: November 25, 2020
    Date of Patent: June 13, 2023
    Assignee: RESONAC CORPORATION
    Inventors: Takayuki Fukushima, Lei Zhang, Chen Xu, Hisato Shibata, Takehiro Yamaguchi, Hiroshi Koyanagi, Yuji Umemoto
  • Patent number: 11655542
    Abstract: An atomic layer deposition system for depositing thin layers of material onto a common substrate includes a deposition head shaped to define a conical interior cavity into which a conical deposition drum is disposed. Together, the deposition head and the deposition drum define a narrow gap adapted to receive the common substrate, the spacing of the narrow gap being adjustable through acute axial displacement of the deposition head relative to the deposition drum. A pair of rollers advances the substrate through the gap in a first direction, as the deposition head rotates in the opposite direction at a precise rate. Each of the deposition head and deposition drum includes a plurality of separate fluid channels which enable gasses utilized in the deposition process to be delivered into and exhausted from the narrow gap, with the delivery of inert gas on both sides of the substrate effectively creating an air bearing.
    Type: Grant
    Filed: November 25, 2020
    Date of Patent: May 23, 2023
    Inventors: Daniel Beane, John S. Berg, Dimitur Benchev
  • Patent number: 11639544
    Abstract: A physical vapor deposition (PVD) chamber and a method of operation thereof are disclosed. Chambers and methods are described that provide a chamber comprising an upper shield with two holes that are positioned to permit alternate sputtering from two targets.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: May 2, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Sanjay Bhat, Vibhu Jindal, Wen Xiao
  • Patent number: 11634808
    Abstract: The disclosure provides an anti-corrosion conductive film and methods of making and using thereof. The anti-corrosion conductive film is formed by sequentially forming an anti-corrosion protective layer, a stress transition layer and a conducting layer on the surface of a substrate by deposition through a high-low pulse bias alternation method. The anti-corrosion conductive film is a nano-multilayer anti-corrosion conductive film exhibiting excellent corrosion resistance and conductivity. The anti-corrosion conductive film has great application prospects in the fields of metal polar plates of fuel cells, ground grid equipment of power transmission lines, and the like.
    Type: Grant
    Filed: January 21, 2019
    Date of Patent: April 25, 2023
    Assignee: SHANGHAI JIAOTONG UNIVERSITY
    Inventors: Peiyun Yi, Weixin Zhang, Linfa Peng, Xinmin Lai
  • Patent number: 11631573
    Abstract: Some embodiments include a high voltage, high frequency switching circuit. The switching circuit may include a high voltage switching power supply that produces pulses having a voltage greater than 1 kV and with frequencies greater than 10 kHz and an output. The switching circuit may also include a resistive output stage electrically coupled in parallel with the output and between the output stage and the high voltage switching power supply, the resistive output stage comprising at least one resistor that discharges a load coupled with the output. In some embodiments, the resistive output stage may be configured to discharge over about 1 kilowatt of average power during each pulse cycle. In some embodiments, the output can produce a high voltage pulse having a voltage greater than 1 kV and with frequencies greater than 10 kHz with a pulse fall time less than about 400 ns.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: April 18, 2023
    Assignee: Eagle Harbor Technologies, Inc.
    Inventors: Timothy M. Ziemba, Kenneth E. Miller, James R. Prager, John G. Carscadden, Ilia Slobodov
  • Patent number: 11629399
    Abstract: A rotary cathode assembly includes a cathode having a tube shape and defining a hollow center, a shield surrounding the cathode, the shield defining an access opening that exposes a portion of the cathode, and a rotary magnet subassembly disposed within the hollow center of the cathode. The rotary magnet subassembly includes a first magnetic component having a first magnetic field strength and a second magnetic component having a second magnetic field strength. The first magnetic field strength is greater than the second magnetic field strength. Characteristically, the first magnet component and the second magnetic component are rotatable between a first position in which the first magnetic component faces the access opening and a second position in which the second magnetic component faces the access opening. A coating system including the rotary cathode assembly is also provided.
    Type: Grant
    Filed: March 10, 2021
    Date of Patent: April 18, 2023
    Assignee: Vapor Technologies, Inc.
    Inventors: Sterling Walker Myers, III, Zachary Zembower
  • Patent number: 11628459
    Abstract: The present invention relates to a device for processing a component, comprising: a travel carriage having a frame which defines an axis of translation along which the travel carriage is translationally movable, a bogie which is relatively rotatably connected to the frame and to which the component is attachable, a first translation-permanent magnet device which is mounted on the frame and having permanent magnets, a rotation-permanent magnet device attached to the bogie and having permanent magnets, and a carriage-side longitudinal guide means mounted on the frame, a stationary travel carriage guide device having a guide-side longitudinal guide means, a first electromagnet translation device with electromagnets which magnetically interact with the permanent magnets of the first translation-permanent magnet device, a first rotation-electromagnet device having electromagnets which magnetically interact with the permanent magnets of the rotation-permanent magnet device, and a controller connected to the first t
    Type: Grant
    Filed: November 9, 2017
    Date of Patent: April 18, 2023
    Assignee: SCIA SYSTEMS GMBH
    Inventors: Daniel Haustein, Sybille Martin, Matthias Nestler, Michael Zeuner
  • Patent number: 11621253
    Abstract: A light emitting device including a first light emitting part having a first area, a second light emitting part having a second area, and a third light emitting part having a third area, in which the first light emitting part is disposed on the same plane as the second light emitting part, the third light emitting part is disposed over the first and second light emitting parts, and the third area is larger than each of the first and second areas.
    Type: Grant
    Filed: October 29, 2019
    Date of Patent: April 4, 2023
    Assignee: Seoul Viosys Co., Ltd.
    Inventors: Jong Hyeon Chae, Chan Seob Shin, Seom Geun Lee, Ho Joon Lee, Seong Kyu Jang
  • Patent number: 11594402
    Abstract: Magnetron sputtering source (1) for coating of a substrate (2), the sputtering source (1) comprising: a target (5) having a target surface at a front side a magnetron arrangement (511, 512) at a backside of the target (5) for creating a magnetic field near the target surface, to define a loop shaped erosion zone (20) at the target surface between an inner magnet assembly (512) and an outer magnet assembly (511), wherein the erosion zone (20) comprises a middle section with two parallel tracks (26) having a distance (d) and two curved end loop sections (27) each of which connects adjoining ends of the parallel tracks (26) and has a loop width (w) in the direction of the distance (d) which is greater than the distance (d) resulting in a double-T-shaped primary geometry of the erosion zone to provide an increased coating material flux from the end loop sections (27) to the substrate.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: February 28, 2023
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFAFFIKON
    Inventor: Othmar Züger
  • Patent number: 11581171
    Abstract: A cathode unit for performing a sputtering film formation includes: a target that emits sputtering particles; a target cooler that includes a cooling plate to which the target is bonded; and a power supply that supplies a power to the target. The target has a high-temperature region that has a higher temperature than other regions of the target during a film formation. The cooling plate includes a coolant flow space through which a coolant flows, and a first wall and a second wall that define the coolant flow space in a thickness direction. In the coolant flow space, a flow path of the coolant is formed by a first partition plate and a second partition plate. The first partition plate does not exist at a portion of the coolant flow space that corresponds to the high-temperature region.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: February 14, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masato Shinada, Einstein Noel Abarra
  • Patent number: 11569070
    Abstract: A plasma processing apparatus includes a balun having a first input terminal, a second input terminal, a first output terminal, and a second output terminal, a vacuum container, a first electrode electrically connected to the first output terminal, a second electrode electrically connected to the second output terminal, and a connection unit configured to electrically connect the vacuum container and ground, the connection unit including an inductor.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: January 31, 2023
    Assignee: CANON ANELVA CORPORATION
    Inventors: Kazunari Sekiya, Masaharu Tanabe, Tadashi Inoue, Hiroshi Sasamoto, Tatsunori Sato, Nobuaki Tsuchiya