Insulator Or Dielectric Patents (Class 204/192.22)
  • Patent number: 11339469
    Abstract: The present disclosure provides a holding arrangement. The holding arrangement for holding a substrate includes: a body portion having a first side; a dry adhesive material provided on the first side of the body portion; a seal surrounding the dry adhesive material and configured to provide a vacuum region on the first side, wherein the dry adhesive material is provided in the vacuum region; and a conduit to evacuate the vacuum region.
    Type: Grant
    Filed: January 13, 2016
    Date of Patent: May 24, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Simon Lau
  • Patent number: 11140750
    Abstract: An integrated circuit is provided having an active circuit. A heating element is adjacent to the active circuit and configured to heat the active circuit. A temperature sensor is also adjacent to the active circuit and configured to measure a temperature of the active circuit. A temperature controller is coupled to the active circuit and configured to receive a temperature signal from the temperature sensor. The temperature controller operates the heating element to heat the active circuit to maintain the temperature of the active circuit in a selected temperature range.
    Type: Grant
    Filed: December 27, 2018
    Date of Patent: October 5, 2021
    Assignees: STMICROELECTRONICS, INC., STMICROELECTRONICS ASIA PACIFIC PTE LTD
    Inventors: Fuchao Wang, Olivier Leneel, Ravi Shankar
  • Patent number: 10982321
    Abstract: The invention relates to a HiPIMS method by means of which homogeneous layers can be deposited over the height of a coating chamber. Two partial cathodes are used for said purpose. According to the invention, the length of the individual power pulse intervals applied to the partial cathodes is chosen individually and thus a required coating thickness profile over the height of the coating chamber is achieved.
    Type: Grant
    Filed: November 23, 2012
    Date of Patent: April 20, 2021
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Siegfried Krassnitzer, Helmut Rudigier
  • Patent number: 10910203
    Abstract: A sputtering system and method are disclosed. The system includes first power source coupled to a first magnetron and an anode, and the first power source provides a first anode voltage that alternates between positive and negative during each of multiple cycles. The system also includes a second power source coupled to the second magnetron and the anode, and the second power source provides a second anode voltage that alternates between positive and negative during each of the multiple cycles. A controller of the system controls the first power source and the second power source to phase-synchronize the first anode voltage with the second anode voltage, so both, the first anode voltage and the second anode voltage, are simultaneously negative during a portion of each cycle and simultaneously positive relative to the first and second magnetrons during another portion of each cycle.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: February 2, 2021
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Douglas Pelleymounter
  • Patent number: 10907061
    Abstract: The present invention relates to formulations for the preparation of organic electronic devices which comprise at least one specific A/JV-dialkylaniline and at least one organic functional material selected from organic conductors, organic semiconductors, organic fluorescent compounds, organic phosphorescent compounds, organic light-absorbent compounds, organic light-sensitive compounds, organic photosensitisation agents and other organic photoactive compounds, selected from organometallic complexes of transition metals, rare earths, lanthanides and actinides.
    Type: Grant
    Filed: September 9, 2016
    Date of Patent: February 2, 2021
    Assignee: Merck Patent GmbH
    Inventors: Gaëlle Béalle, Christoph Leonhard, Hsin-Rong Tseng, Irina Martynova, Aurélie Ludemann
  • Patent number: 10640862
    Abstract: The present disclosure provides a method for forming a film and a method for forming an aluminum nitride film, in which two steps of pre-sputtering having different process parameters are respectively performed before performing a main sputtering, so as to achieve the effect of stabilizing target condition. The method for forming a film of the present disclosure may also form an aluminum nitride film on a substrate, and the aluminum nitride film may serve as a buffer layer between a substrate and a gallium nitride layer in an electronic device, so as to improve film qualities of the aluminum nitride film and the gallium nitride layer and achieve the purpose of improving performance of the electronic device.
    Type: Grant
    Filed: September 27, 2016
    Date of Patent: May 5, 2020
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Jun Wang, Boyu Dong, Bingliang Guo, Yujie Geng, Huaichao Ma
  • Patent number: 10566185
    Abstract: Methods are provided for selectively depositing Al and N containing material on a first conductive surface of a substrate relative to a second, dielectric surface of the same substrate. In some aspects, methods of forming an Al and N containing protective layer or etch stop layer for use in integrated circuit fabrication are provided.
    Type: Grant
    Filed: August 5, 2015
    Date of Patent: February 18, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Han Wang, Qi Xie, Delphine Longrie, Jan Willem Maes, David de Roest, Julian Hsieh, Chiyu Zhu, Timo Asikainen
  • Patent number: 10531524
    Abstract: A microwave oven includes a cooking cavity having an opening, a source of microwave radiation that transmits microwaves into the cooking cavity, a door positioned adjacent the opening and movable between an open position where the cooking cavity can be accessed through the opening and a closed position where the cooking cavity is inaccessible through the opening. The door further includes a transparent glass panel where the cooking cavity is viewable through the door when the door is in the closed position. A conductive metal transparent coating on at least one surface of the transparent glass panel attenuates microwave transmission from the cooking cavity through the door. The conductive metal transparent coating has a sheet resistance and is electrically grounded. A circuit is connected to the transparent coating that measures the sheet resistance of the transparent coating.
    Type: Grant
    Filed: March 9, 2015
    Date of Patent: January 7, 2020
    Assignee: Whirlpool Corporation
    Inventor: Frederick A. Millett
  • Patent number: 10392691
    Abstract: A semiconductor silicon-germanium thin film preparation method, comprising the following steps: cleaning a mono-crystalline silicon substrate and then disposing the same on a substrate table; respectively sputtering a silicon single thin film and a germanium single thin film; depositing a silicon-germanium alloy thin film having different components on another single crystal silicon substrate using a co-sputtering method, measuring the thickness of the deposited thin film, and obtaining a silicon-germanium alloy thin film having different component ratios.
    Type: Grant
    Filed: August 10, 2016
    Date of Patent: August 27, 2019
    Assignee: NINGBO CRRC TIMES TRANSDUCER TECHNOLOGY CO., LTD.
    Inventors: Xiaowei Hou, Junjie Guo, Dacheng Ni, Fei Wang, Huaxiong Zheng, Liangguang Zheng, Juping Li
  • Patent number: 10060026
    Abstract: The invention relates to a method for coating substrates by sputtering of target material, the method comprising the following steps: —applying a first sputtering target made of a first material in a coating chamber to a power pulse by which, during a first time interval, a first amount of energy is transmitted to the sputtering target, wherein the maximum power density exceeds 50 W/cm2 and preferably 500 W/cm2; —applying a second sputtering target made of a second material that is different from the first material in the coating chamber to a power pulse by which, during a second time interval, a second amount of energy is transmitted to the sputtering target, wherein the maximum power density exceeds 50 W/cm2 and preferably 500 W/cm2, characterized in that the first amount of energy differs from the second amount of energy.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: August 28, 2018
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Siegfried Krassnitzer, Denis Kurapov
  • Patent number: 10034609
    Abstract: Provided are wireless temperature sensors. A temperature sensor with a flexible, large-area printed thermistor can include an negative temperature coefficient (NTC) thermistor for temperature sensing, a control circuitry for electrically connecting with the NTC thermistor and obtaining the temperature sensed by the NTC thermistor, a power source for providing power supply to the NTC thermistor and the control circuitry, and a frame element for supporting the NTC thermistor, the control circuitry and the power source, where the frame element is at least partially thermally insulated to establish thermal equilibrium within the temperature sensor. The temperature sensor can sense the temperature in a fast and accurate way due to fast thermal equilibrium established within the sensor.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: July 31, 2018
    Assignee: NANO AND ADVANCED MATERIALS INSTITUTE LIMITED
    Inventors: Caiming Sun, Xiaohua Chen
  • Patent number: 9768081
    Abstract: An electrode system configured to be positioned within a vacuum chamber of an electron-beam metal evaporation and deposition apparatus including a metal slug from which metal is evaporated during operation of the electron-beam metal evaporation and deposition apparatus. The electrode system includes a substantially ring-shaped electrode formed of a conductive material and a plurality of insulating standoffs configured to support the substantially ring-shaped electrode in the vacuum chamber in a position substantially surrounding the metal slug.
    Type: Grant
    Filed: April 30, 2015
    Date of Patent: September 19, 2017
    Assignee: SKYWORKS SOLUTIONS, INC.
    Inventor: Kezia Cheng
  • Patent number: 9758856
    Abstract: A sputtering target having a one-piece top coat comprising a mixture of oxides of zinc, tin, and optionally gallium, characterized in that said one-piece top coat has a length of at least 80 cm; a method for forming such a sputtering target and the use of such a target for forming films.
    Type: Grant
    Filed: February 4, 2014
    Date of Patent: September 12, 2017
    Assignee: SOLERAS ADVANCED COATINGS BVBA
    Inventors: Jorg Oberste Berghaus, Wilmert De Bosscher
  • Patent number: 9572260
    Abstract: A device having a planar substrate, the substrate having a first side and a second side, where at least one first arrangement may be provided on the first side, which has at least one first single-wire and possibly at least one first cavity, and where at least one first device for storing electromagnetic energy is provided, one end of a single-wire being connected to the device for storing the electromagnetic energy, and the other end of the single-wire being disposed possibly, as free end, in, below or abutting on the first cavity.
    Type: Grant
    Filed: February 3, 2014
    Date of Patent: February 14, 2017
    Inventor: Dietrich Reichwein
  • Patent number: 9561496
    Abstract: An object of the present invention is to provide an exhaust gas purification catalyst having an improved NOx purification performance in a lean atmosphere; and a method for producing the same. The method for producing an exhaust gas purification catalyst according to the present invention includes sputtering a target material containing Nb and Rh to produce fine composite-metal particles containing Nb and Rh.
    Type: Grant
    Filed: March 21, 2016
    Date of Patent: February 7, 2017
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Satoshi Nagao, Kazutoshi Akashi
  • Patent number: 9559032
    Abstract: The present invention provides a method of forming a passivation layer of a MOS device, and a MOS device. The method of forming a passivation layer of a MOS device includes: forming a substrate; forming a dielectric on the substrate; patterning the dielectric to expose a part of the substrate; forming a metal on the exposed part of the substrate, and the dielectric; forming a TEOS on the metal; forming a PSG on the TEOS; and forming a silicon nitrogen compound on the PSG. Therefore, the cracks problem of the passivation can be alleviated.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: January 31, 2017
    Assignee: CSMC Technologies Fab2 Co., Ltd.
    Inventors: Zhewei Wang, Xuelei Chen, Binbin Liu, Liuchun Gao, Hongxing Zhao, Guomin Huang, Long Jiang, Jibin Jiao
  • Patent number: 9553016
    Abstract: A method for a method of forming a semiconductor device includes providing a semiconductor substrate having a bottom surface opposite a top surface with circuitry disposed at the top surface. The method further includes forming a first metal layer having a first metal over the bottom surface of the semiconductor substrate. The first metal layer is formed by depositing an adhesion promoter followed by depositing the first metal.
    Type: Grant
    Filed: July 7, 2014
    Date of Patent: January 24, 2017
    Assignee: Infineon Technologies AG
    Inventors: Mark James Harrison, Martin Sporn
  • Patent number: 9435029
    Abstract: Systems, methods and apparatus for regulating ion energies in a plasma chamber and chucking a substrate to a substrate support are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: September 6, 2016
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Victor Brouk, Daniel J. Hoffman
  • Patent number: 9388490
    Abstract: An apparatus for coating a substrate is provided that includes a racetrack-shaped plasma source having two straight portions and at least one terminal turnaround portion connecting said straight portions. A tubular target formed of a target material that forms a component of the coating has an end. The target is in proximity to the plasma source for sputtering of the target material. The target is secured to a tubular backing cathode, with both being rotatable about a central axis. A set of magnets are arranged inside the cathode to move an erosion zone aligned with the terminal turnaround toward the end of the target as the target is utilized to deposit the coating on the substrate. Target utilization of up to 87 weight percent the initial target weight is achieved.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: July 12, 2016
    Assignee: General Plasma, Inc.
    Inventors: John E. Madocks, Patrick Lawrence Morse, Phong Ngo
  • Patent number: 9090504
    Abstract: A coated article is provided with at least one infrared (IR) reflecting layer. The IR reflecting layer may be of silver or the like. In certain example embodiments, a titanium oxide layer is provided over the IR reflecting layer, and it has been found that this surprisingly results in an IR reflecting layer with a lower specific resistivity (SR) thereby permitting thermal properties of the coated article to be improved.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: July 28, 2015
    Assignees: Centre Luxembourgeois de Recherches Pour le Verre et la Ceramique S.A. (C.R.V.C.), Guardian Industries Corp.
    Inventors: Jochen Butz, Uwe Kriltz, Artur Siwek, Anton Dietrich, Jens-Peter Müller, Jean-Marc Lemmer, Richard Blacker
  • Publication number: 20150114827
    Abstract: Methods for forming a metal dielectric etching stop layer onto a substrate with good etching selectivity and low wet etching rate. In one embodiment, a method of sputter depositing a metal dielectric etching stop layer on the substrate includes transferring a substrate in a processing chamber, supplying a gas mixture including at least N2 gas into the processing chamber, applying a RF power to form a plasma from the gas mixture to sputter source material from a target disposed in the processing chamber, maintaining a substrate temperature less than about 320 degrees Celsius, and depositing a metal dielectric etching stop layer onto the substrate from the sputtered source material.
    Type: Application
    Filed: October 24, 2013
    Publication date: April 30, 2015
    Inventors: Yong CAO, Tingjun XU, Rajkumar JAKKARAJU, Rongjun WANG
  • Publication number: 20150109234
    Abstract: A process for manufacturing a transparent body for a touch screen panel is described. The process includes: depositing a first transparent layer stack over a flexible transparent substrate, wherein said first transparent layer stack includes at least a first dielectric film with a first refractive index, and a second dielectric film with a second refractive index different from the first refractive index; providing a transparent conductive film over the first transparent layer stack; depositing a layer of a conductive material over the transparent conductive film; providing a polymer layer over the layer of a conductive material; imprinting a pattern, e.g. a 3D pattern, on the polymer layer; etching the layer of the conductive material based upon the pattern to form conductive paths for the touch screen panel; and etching the transparent conductive film based upon the pattern to form a structured transparent conductive pattern for touch detection.
    Type: Application
    Filed: December 9, 2013
    Publication date: April 23, 2015
    Inventors: Hans-Georg LOTZ, Neil MORRISON, Thomas DEPPISCH
  • Patent number: 8992744
    Abstract: A method of fabricating by co-sputtering deposition a lanthanoid aluminate film with enhanced electrical insulativity owing to suppression of deviation in composition of the film is disclosed. Firstly within a vacuum chamber, hold two separate targets, one of which is made of lanthanoid aluminate (LnAlO3) and the other of which is made of aluminum oxide (Al2O3). Then, transport and load a substrate into the vacuum chamber. Next, introduce a chosen sputtering gas into this chamber. Thereafter, perform sputtering of both the targets at a time to thereby form a lanthanoid aluminate film on the substrate surface. This film is well adaptable for use as ultra-thin high dielectric constant (high-k) gate dielectrics in highly miniaturized metal oxide semiconductor (MOS) transistors.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: March 31, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsunehiro Ino, Akira Takashima
  • Publication number: 20150083464
    Abstract: A process for manufacturing a transparent body for use in a touch screen panel is provided. The process includes: depositing a first transparent layer stack over a transparent substrate, wherein said first transparent layer stack includes at least a first dielectric film with a first refractive index, and a second dielectric film with a second refractive index different from the second the first refractive index; providing a structured transparent conductive film in a manner such that the first transparent layer stack and the transparent conductive film are disposed over the substrate in this order, and wherein the structured transparent conductive film has a sheet resistance of 100 Ohm/square or below; and providing a transparent adhesive onto the structured transparent conductive film configured for attaching the layer stack to the touch screen panel.
    Type: Application
    Filed: March 30, 2012
    Publication date: March 26, 2015
    Applicant: Applied Materials, Inc.
    Inventors: Thomas Werner Zilbauer, Jürgen Grillmayer
  • Publication number: 20150079481
    Abstract: A method of fabricating an electrochemical device comprising a lithium metal electrode, may comprise: providing a substrate with a lithium metal electrode on the surface thereof; depositing a first layer of dielectric material on the lithium metal electrode, the depositing the first layer being sputtering Li3PO4 in an argon ambient; after the depositing the first layer, inducing and maintaining a nitrogen plasma over the first layer of dielectric material to provide ion bombardment of the first layer for incorporation of nitrogen therein; and after the depositing, the inducing and the maintaining, depositing a second layer of dielectric material on the ion bombarded first layer of dielectric material, the depositing the second layer being sputtering Li3PO4 in a nitrogen-containing ambient. Electrochemical devices may comprise a barrier layer between the lithium metal electrode and the LiPON electrolyte.
    Type: Application
    Filed: January 2, 2014
    Publication date: March 19, 2015
    Inventors: Lizhong SUN, Chong JIANG, Byung-Sung Leo KWAK, Joseph G. GORDON, II
  • Publication number: 20150072215
    Abstract: A thin film solid state battery configured with barrier regions formed on a flexible substrate member and method. The method includes forming a bottom thin film barrier material overlying and directly contacting a surface region of a substrate. A first current collector region can be formed overlying the bottom barrier material and forming a first cathode material overlying the first current collector region. A first electrolyte can be formed overlying the first cathode material, and a second current collector region can be formed overlying the first anode material. The method also includes forming an intermediary thin film barrier material overlying the second current collector region and forming a top thin film barrier material overlying the second electrochemical cell. The solid state battery can comprise the elements described in the method of fabrication.
    Type: Application
    Filed: November 12, 2014
    Publication date: March 12, 2015
    Inventors: Hyoncheol KIM, Marc LANGLOIS, Myoungdo CHUNG, Ann Marie SASTRY, Yen-Hung CHEN, Stephen BUCKINGHAM
  • Publication number: 20150030785
    Abstract: A high-temperature insulation assembly for use in high-temperature electrical machines and a method for forming a high-temperature insulation assembly for insulating conducting material in a high-temperature electrical machine. The assembly includes a polymeric film and at least one ceramic coating disposed on the polymeric film. The polymeric film is disposed over conductive wiring or used as a conductor winding insulator for phase separation and slot liner.
    Type: Application
    Filed: October 10, 2014
    Publication date: January 29, 2015
    Inventors: Weijun Yin, Min Yan, Ri-An Zhao
  • Publication number: 20150020861
    Abstract: A thermoelectric device and method based on creating a structure of nanoclusters in a composite metal and insulator material by co-depositing the metal and insulator material and irradiating the composite material to create nanoclusters of metal within the composite material. In one variation, the composite material may be continuously deposited and concurrently irradiated. A further variation based on a multilayer structure having alternate layers of metal/material mixture. The alternate layers have differing metal content. The layer structure is irradiated with ionizing radiation to produce nanoclusters in the layers. The differing metal content serves to quench the nanoclusters to isolate nanoclusters along the radiation track. The result is a thermoelectric device with a high figure of merit. In one embodiment, the multilayer structure is fabricated and then irradiated with high energy radiation penetrating the entire layer structure.
    Type: Application
    Filed: September 22, 2014
    Publication date: January 22, 2015
    Inventor: Daryush ILA
  • Publication number: 20140374665
    Abstract: Provided is a formed article including a layer obtained by implanting ions of a hydrocarbon compound into a polysilazane compound-containing layer. Also provided are a method for producing the formed article, an electronic device member including the formed article, and an electronic device including the electronic device member. The formed article exhibiting an excellent gas barrier capability and excellent bending resistance, a method for producing the formed article, and an electronic device member, or the like, comprising the formed article are provided.
    Type: Application
    Filed: September 12, 2014
    Publication date: December 25, 2014
    Applicant: LINTEC CORPORATION
    Inventors: Wataru IWAYA, Takeshi KONDO, Satoshi NAGANAWA
  • Publication number: 20140360863
    Abstract: The present invention provides a SrRuO3 film manufacturing method capable of depositing high-quality SrRuO3 film while achieving a high deposition rate and preventing occurrence of abnormal discharge in the process of depositing the SrRuO3 film by DC magnetron sputtering. An embodiment of the present invention is a SrRuO3 film deposition method by offset rotary deposition-type DC magnetron sputtering, which includes depositing SrRuO3 film on a substrate at a deposition pressure of 1.0 Pa or more and less than 8.0 Pa in an oxygen-containing atmosphere.
    Type: Application
    Filed: June 20, 2014
    Publication date: December 11, 2014
    Inventors: Yoshiaki DAIGO, Keiji ISHIBASHI
  • Patent number: 8900418
    Abstract: This disclosure provides (a) methods of making an oxide layer (e.g., a dielectric layer) based on yttrium and titanium, to have a high dielectric constant and low leakage characteristic and (b) related devices and structures. An oxide layer having both yttrium and titanium may be fabricated either as an amorphous oxide or as an alternating series of monolayers. In several embodiments, the oxide is characterized by a yttrium contribution to total metal that is specifically controlled. The oxide layer can be produced as the result of a reactive process, if desired, via either a PVD process or, alternatively, via an atomic layer deposition process that employs specific precursor materials to allow for a common process temperature window for both titanium and yttrium reactions.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: December 2, 2014
    Assignees: Intermolecular, Inc., Elpida Memory, Inc.
    Inventors: Imran Hashim, Hanhong Chen, Tony Chiang, Indranil De, Nobi Fuchigami, Edward Haywood, Pragati Kumar, Sandra Malhotra, Sunil Shanker
  • Patent number: 8900422
    Abstract: This disclosure provides (a) methods of making an oxide layer (e.g., a dielectric layer) based on yttrium and titanium, to have a high dielectric constant and low leakage characteristic and (b) related devices and structures. An oxide layer having both yttrium and titanium may be fabricated either as an amorphous oxide or as an alternating series of monolayers. In several embodiments, the oxide is characterized by a yttrium contribution to total metal that is specifically controlled. The oxide layer can be produced as the result of a reactive process, if desired, via either a PVD process or, alternatively, via an atomic layer deposition process that employs specific precursor materials to allow for a common process temperature window for both titanium and yttrium reactions.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: December 2, 2014
    Assignees: Intermolecular, Inc., Elpida Memory, Inc.
    Inventors: Imran Hashim, Indranil De, Tony Chiang, Edward Haywood, Hanhong Chen, Nobi Fuchigami, Pragati Kumar, Sandra Malhotra, Sunil Shanker
  • Patent number: 8900421
    Abstract: A method of fabricating a variable resistance layer of a resistance memory is disclosed. The method includes placing a substrate in a sputtering chamber that has a copper target and a silicon oxide (SiO2) target or has a complex target made from copper and silicon oxide therein. Thereafter, a co-sputtering process is performed by using the copper target and the silicon oxide target, or a sputtering process is performed by using the complex target, so that a compound film is deposited on a surface of the substrate, wherein the compound film serves as a variable resistance layer of a resistance memory, and the mole percentage of Cu/(Cu+Si) of the compound film is 1-15%.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: December 2, 2014
    Assignee: National Taiwan University of Science and Technology
    Inventors: Shyan-kay Jou, Chia-Jen Li
  • Patent number: 8778146
    Abstract: A method for manufacturing with high productivity a magnetic recording medium having an MgO film is disclosed which uses a DC sputtering method. The method suppresses oxygen deficiency in the MgO film, and the MgO film has high crystallinity. The method includes at least a process of forming an intermediate layer of MgO on a nonmagnetic base by a reactive DC sputtering method that uses a target containing Mg and MgO in an oxygen-containing gas, and a process of forming a magnetic recording layer containing an L10 ordered alloy on the intermediate layer.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: July 15, 2014
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Shinji Uchida
  • Publication number: 20140183037
    Abstract: One embodiment of the present invention provides a sputtering system for large-scale fabrication of solar cells. The sputtering system includes a reaction chamber, a rotary target situated inside the reaction chamber which is capable of rotating about a longitudinal axis, and an RF power source coupled to at least one end of the rotary target to enable RF sputtering. The length of the rotary target is between 0.5 and 5 meters.
    Type: Application
    Filed: December 27, 2013
    Publication date: July 3, 2014
    Inventors: Zhigang Xie, Wei Wang, Zheng Xu, Jianming Fu
  • Publication number: 20140154510
    Abstract: A film structure (carbon material-insulating film structure) of the present invention includes a carbon material and an insulating film disposed on the carbon material and composed of fluorine-added magnesium oxide. The amount of added fluorine in the magnesium oxide is 0.0049 atomic percent or more and 0.1508 atomic percent or less. This film structure facilitates the realization of an electronic device, such as a spin device, which uses a carbon material such as graphene. This film structure is formed, for example, by sputtering using a target containing magnesium oxide and magnesium fluoride.
    Type: Application
    Filed: July 4, 2012
    Publication date: June 5, 2014
    Applicant: PANASONIC CORPORATION
    Inventors: Akihiro Odagawa, Akio Matsushita, Nozomu Matsukawa
  • Patent number: 8735291
    Abstract: A method of patterning a gate stack on a substrate is described. The method includes preparing a gate stack on a substrate, wherein the gate stack includes a high-k layer and a gate layer formed on the high-k layer. The method further includes transferring a pattern formed in the gate layer to the high-k layer using a pulsed bias plasma etching process, and selecting a process condition for the pulsed bias plasma etching process to achieve a silicon recess formed in the substrate having a depth less than 2 nanometer (nm).
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: May 27, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Alok Ranjan, Akiteru Ko
  • Publication number: 20140110248
    Abstract: According to embodiments provide a method for forming dielectric films using physical vapor deposition chamber. Particularly, a pasting process may be performed to apply a conductive coating over inner surfaces of the physical vapor deposition chamber. The pasting process may be performed under adjusted process parameters, such as increased spacing and/or increased chamber pressure. The adjusted parameters allow the conductive coating to be formed more efficiently and effectively.
    Type: Application
    Filed: September 25, 2013
    Publication date: April 24, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Yong CAO, Thanh X. NGUYEN, Muhammad M. RASHEED, Xianmin TANG
  • Patent number: 8597474
    Abstract: Titanium and aluminum cathode targets are disclosed for sputtering absorbing coatings of titanium and aluminum-containing materials in atmospheres comprising inert gas, reactive gases such as nitrogen, oxygen, and mixtures thereof, which can further comprise inert gas, such as argon, to form nitrides, oxides, and oxynitrides, as well as metallic films. The titanium and aluminum-containing coatings can be utilized as an outer coat or as one or more coating layers of a coating stack.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: December 3, 2013
    Assignee: PPG Industries Ohio, Inc.
    Inventor: James J. Finley
  • Publication number: 20130292244
    Abstract: Reactive sputter deposition method and system are disclosed, in which a catalyst gas, such as water vapor, is used to increase the overall deposition rate substantially without compromising formation of a dielectric compound layer and its optical transmission. Addition to the sputtering or reactive gas of the catalyst gas can result in an increase of a deposition rate of the dielectric oxide film substantially without increasing an optical absorption of the film.
    Type: Application
    Filed: May 3, 2013
    Publication date: November 7, 2013
    Inventor: Georg J. OCKENFUSS
  • Patent number: 8557088
    Abstract: A method of physical vapor deposition includes applying a first radio frequency signal having a first phase to a cathode in a physical vapor deposition apparatus, wherein the cathode includes a sputtering target, applying a second radio frequency signal having a second phase to a chuck in the physical vapor deposition apparatus, wherein the chuck supports a substrate, and wherein a difference between the first and second phases creates a positive self bias direct current voltage on the substrate, and depositing a material from the sputtering target onto the substrate.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: October 15, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Youming Li, Jeffrey Birkmeyer, Takamichi Fujii, Takayuki Naono, Yoshikazu Hishinuma
  • Publication number: 20130248352
    Abstract: A method of sputter depositing dielectric thin films may comprise: providing a substrate on a substrate pedestal in a process chamber, the substrate being positioned facing a sputter target; simultaneously applying a first RF frequency from a first power supply and a second RF frequency from a second power supply to the sputter target; and forming a plasma in the process chamber between the substrate and the sputter target, for sputtering the target; wherein the first RF frequency is less than the second RF frequency, the first RF frequency is chosen to control the ion energy of the plasma and the second RF frequency is chosen to control the ion density of the plasma. The self-bias of surfaces within said process chamber may be selected; this is enabled by connecting a blocking capacitor between the substrate pedestal and ground.
    Type: Application
    Filed: September 10, 2012
    Publication date: September 26, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Chong Jiang, Byung-Sung Leo Kwak, Michael Stowell, Karl Armstrong
  • Patent number: 8540851
    Abstract: A method of physical vapor deposition includes applying a radio frequency signal to a cathode in a physical vapor deposition apparatus, wherein the cathode includes a sputtering target, electrically connecting a chuck in the physical vapor deposition apparatus to an impedance matching network, wherein the chuck supports a substrate, and wherein the impedance matching network includes at least one capacitor, and depositing material from the sputtering target onto the substrate.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: September 24, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Youming Li, Jeffrey Birkmeyer, Takamichi Fujii, Takayuki Naono, Yoshikazu Hishinuma
  • Patent number: 8454805
    Abstract: A method of depositing an amorphous layer of AlON includes providing an aluminum sputter target in a chamber, exposing the target and chamber to O2 to saturate the exposed surfaces with oxygen, introducing a substrate into the chamber in an atmosphere containing at least nitrogen and oxygen, and sputtering the target in the nitrogen and oxygen atmosphere to deposit an amorphous AlON film.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: June 4, 2013
    Assignee: SPTS Technologies Limited
    Inventor: Anthony Wilby
  • Patent number: 8435388
    Abstract: The invention is a method for obtaining a reactive sputtering process with a reduced or eliminated hysteresis behavior. This is achieved by employing a target made from a mixture of metal and compound materials. In the method according to the present invention, the fraction of compound material is large enough to eliminate or significantly reduce the hysteresis behavior of the reactive sputtering process and enable a stable deposition of compound films at a rate significantly higher than what is possible from a target completely made from compound material.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: May 7, 2013
    Assignee: Cardinal CG Company
    Inventors: Klaus Hartig, Sören Berg, Tomas Nyberg
  • Patent number: 8425738
    Abstract: The present invention refers to a coating device for depositing of barrier layers on a plastic substrate comprising a first coating station for depositing a first layer comprising a metal and a second coating station for depositing a second layer comprising a resin, wherein a treatment station for treating the deposited first layer is arranged between the first and the second coating stations which comprises sputter means for depositing one or several atomic layers or isles of deposition material. The invention further refers to an appropriate method which can be carried out by the coating device and to a layer system produced thereby.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: April 23, 2013
    Assignees: Applied Materials, Inc., Biofilm S.A.
    Inventors: Gerd Hoffman, Alexandra L. Quiceno
  • Publication number: 20130071600
    Abstract: An optical information recording medium including three or more information layers, wherein at least one information layer provided on the light incident side is an information layer which enables information to be overwritten and includes a recording film, a transmittance adjusting film of a dielectric containing Bi, Ti and O, and an isolation film in this order from a light incident side; and the isolation film is provided between and adjacent to the transmittance adjusting film and an intermediate separation layer which separate the information layer from another information layer on the side opposite to the light incident side; and the isolation film has an optical constant at a wavelength of 405 nm such that a refractive index is 1.8 or less and an extinction coefficient is 0.05 or less. This information recording medium prevents the repeated overwriting characteristics in a sever environment from being deteriorated.
    Type: Application
    Filed: February 24, 2012
    Publication date: March 21, 2013
    Inventors: Akio Tsuchino, Takashi Nishihara, Hideo Kusada, Rie Kojima, Noboru Yamada
  • Patent number: 8388815
    Abstract: A coated article includes a substrate, a catalyst layer, a bonding layer and a hydrophobic layer. The catalyst layer made of tin is formed on the substrate. The bonding layer is formed on the catalyst layer, including titanium, tin, stannic oxide and titanium dioxide. The hydrophobic layer made of silicon-nitrogen is formed on the bonding layer.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: March 5, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Hsin-Pei Chang, Wen-Rong Chen, Huann-Wu Chiang, Cheng-Shi Chen, Jia Huang
  • Patent number: 8357267
    Abstract: The present invention has been achieved to provide a method and apparatus for speedily and homogeneously fabricating polycrystalline silicon films or similar devices at low cost. A silicon target is attached to a water-cooled electrode, while a substrate made of a desired material is set on the other, heated electrode. When atmospheric pressure hydrogen plasma is generated between the two electrodes, silicon atoms will be released from the low-temperature target on the side and deposited on the high-temperature substrate. A doped silicon film can be created by using a target containing a doping element. Since there is no need to handle expensive and harmful gases (e.g. SiH4, B2H6 and PH3), the apparatus can be installed and operated at lower costs. In an application of the film producing method according to the present invention, an objective substance can be selectively purified from a target containing a plurality of substances.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: January 22, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hiromasa Ohmi, Kiyoshi Yasutake, Hiroaki Kakiuchi
  • Patent number: 8337676
    Abstract: A high performance TMR sensor is fabricated by incorporating a tunnel barrier having a Mg/MgO/Mg configuration. The 4 to 14 Angstroms thick lower Mg layer and 2 to 8 Angstroms thick upper Mg layer are deposited by a DC sputtering method while the MgO layer is formed by a NOX process involving oxygen pressure from 0.1 mTorr to 1 Torr for 15 to 300 seconds. NOX time and pressure may be varied to achieve a MR ratio of at least 34% and a RA value of 2.1 ohm-um2. The NOX process provides a more uniform MgO layer than sputtering methods. The second Mg layer is employed to prevent oxidation of an adjacent ferromagnetic layer. In a bottom spin valve configuration, a Ta/Ru seed layer, IrMn AFM layer, CoFe/Ru/CoFeB pinned layer, Mg/MgO/Mg barrier, CoFe/NiFe free layer, and a cap layer are sequentially formed on a bottom shield in a read head.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: December 25, 2012
    Assignee: Headway Technologies, Inc.
    Inventors: Tong Zhao, Kunliang Zhang, Hui Chuan Wang, Yu-Hsia Chen, Min Li