Etching Patents (Class 204/298.31)
  • Publication number: 20090114244
    Abstract: The embodiments provide structures and mechanisms for removal of etch byproducts, dielectric films and metal films on and near the substrate bevel edge, and chamber interior to avoid the accumulation of polymer byproduct and deposited films and to improve process yield. In an exemplary embodiment, a plasma processing chamber configured to clean a bevel edge of a substrate is provided. The plasma processing chamber includes a bottom electrode configured to receive the substrate, wherein the bottom electrode is coupled to a radio frequency (RF) power supply. The plasma processing chamber also includes a top edge electrode surrounding an insulating plate opposing the bottom electrode. The top edge electrode is electrically grounded. The plasma processing chamber further includes a bottom edge electrode surrounding the bottom electrode. The bottom edge electrode opposes the top edge electrode.
    Type: Application
    Filed: June 5, 2007
    Publication date: May 7, 2009
    Inventors: Gregory S. Sexton, Andrew D. Bailey, III, Andras Kuthi
  • Publication number: 20090095621
    Abstract: A method and apparatus for removing native oxides from a substrate surface is provided. In one aspect, the apparatus comprises a support assembly. In one embodiment, the support assembly includes a shaft coupled to a disk-shaped body. The shaft has a vacuum conduit, a heat transfer fluid conduit and a gas conduit formed therein. The disk-shaped body includes an upper surface, a lower surface and a cylindrical outer surface. A thermocouple is embedded in the disk-shaped body. A flange extends radially outward from the cylindrical outer surface, wherein the lower surface of the disk-shaped body comprises one side of the flange. A fluid channel is formed in the disk-shaped body proximate the flange and lower surface. The fluid channel is coupled to the heat transfer fluid conduit of the shaft. A plurality of grooves are formed in the upper surface of the disk-shaped body, and are coupled by a hole in the disk-shaped body to the vacuum conduit of the shaft.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 16, 2009
    Inventors: Chien-Teh Kao, Joel M. Huston, Mei Chang, Xiaoxiong (John) Yuan
  • Publication number: 20080121620
    Abstract: A processing system includes a chamber. A plurality of processing stations in a center region in the chamber can be sequentially positioned when viewed in a first direction. The plurality of processing stations is configured to provide at least one processing step selected from the group consisting of thermal evaporation, thermal sublimation, sputtering, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), ion etching, or sputter etching. A plurality of substrates in the chamber can be sequentially positioned when viewed in the first direction. At least one of the plurality of substrate comprises a receiving surface configured to receive the at least one processing step from the plurality of processing stations.
    Type: Application
    Filed: November 24, 2006
    Publication date: May 29, 2008
    Inventors: G. X. Guo, K. A. Wang
  • Publication number: 20080093212
    Abstract: An apparatus and a method for operating the same. The method includes providing an apparatus which includes a chamber, wherein the chamber includes first and second inlets, an anode and a cathode structures in the chamber, and a wafer on the cathode structure. A cleaning gas is injected into the chamber via the first inlet. A collecting gas is injected into the chamber via the second inlet. The cleaning gas when ionized has a property of etching a top surface of the wafer resulting in a by-product mixture in the chamber. The collecting gas has a property of preventing the by-product mixture from depositing back to the surface of the wafer.
    Type: Application
    Filed: October 12, 2006
    Publication date: April 24, 2008
    Inventors: Edward Crandal Cooney, William Joseph Murphy, Anthony Kendall Stamper, David Craig Strippe
  • Patent number: 7345429
    Abstract: Methods and apparatus for generating strongly-ionized plasmas are disclosed. A strongly-ionized plasma generator according to one embodiment includes a chamber for confining a feed gas. An anode and a cathode assembly are positioned inside the chamber. A pulsed power supply is electrically connected between the anode and the cathode assembly. The pulsed power supply generates a multi-stage voltage pulse that includes a low-power stage with a first peak voltage having a magnitude and a rise time that is sufficient to generate a weakly-ionized plasma from the feed gas. The multi-stage voltage pulse also includes a transient stage with a second peak voltage having a magnitude and a rise time that is sufficient to shift an electron energy distribution in the weakly-ionized plasma to higher energies that increase an ionization rate which results in a rapid increase in electron density and a formation of a strongly-ionized plasma.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: March 18, 2008
    Assignee: Zond, Inc.
    Inventor: Roman Chistyakov
  • Patent number: 7320331
    Abstract: An in-situ plasma cleaning device (PCD) performs an atomic surface cleaning process to remove contaminants and/or to modify the cylindrical surfaces of both the target and substrate. The atomic cleaning process utilizes a plasma generated locally within the in-situ plasma cleaning device with suitable properties to clean both the target and substrate cylindrical surfaces either concurrently or separately. Moreover, the in-situ plasma cleaning device is designed to traverse the length of the target and the substrate cylindrical surfaces during the cleaning process.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: January 22, 2008
    Assignee: United States of America as represented by the Secrertary of the Army
    Inventors: Michael J. Audino, Michael Cipollo, David Glocker, Kevin Miner, Patrick Vottis
  • Patent number: 7316764
    Abstract: A system and method for performing sputter etching includes an ion source that generates an ion current that is directed at a substrate and an electron source that generates an electron current directed at the substrate. Biasing circuitry biases the substrate with an a-symmetric bi-polar DC voltage pulse signal. The biasing circuitry is formed from a positive voltage source with respect to ground, a negative voltage source with respect to ground and a high frequency switch. At least one current sensor, coupled to the biasing circuitry, monitors a positive current and a negative current from the substrate during one or more cycles of the a-symmetric bi-polar DC voltage pulse signal. A control system, coupled to the at least one current sensor, varies the ion current independently from the electron current. The ion and electron sources create a continuous plasma that is proximate the substrate and the biasing circuitry causes the substrate to alternatively attract ions and electrons from the plasma.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: January 8, 2008
    Assignee: 4 Wave, Inc.
    Inventors: David Alan Baldwin, Todd Lanier Hylton
  • Patent number: 7294283
    Abstract: The preferred embodiments described herein provide a Penning discharge plasma source. The magnetic and electric field arrangement, similar to a Penning discharge, effectively traps the electron Hall current in a region between two surfaces. When a substrate (10) is positioned proximal to at least one of the electrodes (11, 12) and is moved relative to the plasma, the substrate (10) is plasma treated, coated or otherwise modified depending upon the process gas used and the process pressure. This confinement arrangement produces dramatic results not resembling known prior art. Using this new source, many applications for PECVD, plasma etching, plasma treating, sputtering or other plasma processes will be substantial improved or made possible. In particular, applications using flexible webs (10) are benefited.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: November 13, 2007
    Assignee: Applied Process Technologies, Inc.
    Inventor: John Madocks
  • Patent number: 7276140
    Abstract: A plasma accelerating apparatus and a plasma processing system having the same are provided. The apparatus includes a circular channel comprising an inner wall, an outer wall, and an end wall connected to an end of the inner wall and the outer wall to form an outlet port at the other ends of the inner and outer walls; a gas supply portion to supply a gas to an inside of the channel; and a plasma generating and accelerating portion to supply ionization energy to the gas inside the channel to generate a plasma beam, and to accelerate the generated plasma beam toward the outlet port, wherein one of the inner wall and outer wall of the channel is inclined at an angle so that the other end of the wall is located closer to a center of the plasma accelerating apparatus.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: October 2, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-woo Yoo, Won-taek Park
  • Patent number: 7270729
    Abstract: First and second electrodes and magnets between the electrodes define an enclosure. The first electrode is biased at a high voltage to produce a high intensity electrical field. The second electrode is biased at a low negative voltage by a low alternating voltage to produce a low intensity electrical field. Electrons movable in a helical path in the enclosure near the first electrode ionize inert gas molecules in the enclosure. A wafer having a floating potential and an insulating layer is closely spaced from the second electrode. The second electrode and the wafer define plates of a first capacitor having a high impedance. The wafer and the inert gas ions in the enclosure define opposite plates of a second capacitor. The first capacitor accordingly controls and limits the speed at which the gas ions move to the insulating layer surface to etch this surface. The resultant etch, only a relatively few angstroms, of the insulating layer is smooth, uniform and accurate.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: September 18, 2007
    Assignee: Tegal Corporation
    Inventor: Pavel N. Laptev
  • Publication number: 20070209933
    Abstract: A temperature control type sample-holding electrode using a heater capable of enhancing the performance of controlling the electrode temperature and ensuring the uniformity of static adsorption force over the entire surface, the sample-holding electrode being provided in a processing chamber with a sample being disposed thereon, including a dielectric film having a sample-placing surface and a thin electrode film disposed so as to oppose to the sample-placing surface by way of the dielectric film and comprising a layer of a substantially identical height serving both as a static adsorption electrode and a heater electrode, and provided with power source device capable of simultaneously supplying an AC power for heater and DC power for static adsorption to the thin electrode film.
    Type: Application
    Filed: August 31, 2006
    Publication date: September 13, 2007
    Inventors: Ken Yoshioka, Yutaka Omoto, Tsunehiko Tsubone
  • Patent number: 7241397
    Abstract: An optical window deposition shield including a backing plate having a through hole, and a honeycomb structure having a plurality of adjacent cells configured to allow optical viewing through the honeycomb structure. Each cell of the honeycomb structure has an aspect ratio of length to diameter sufficient to impede a processing plasma from traveling through the full length of the cell. A coupling device configured to couple the honeycomb core structure to the backing plate such that the honeycomb structure is aligned with at least a portion of the through hole in the backing plate. The optical window deposition shield shields the optical viewing window of a plasma processing apparatus from contact with the plasma.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: July 10, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Steven T. Fink, Andrej S. Mitrovic, Paula A. Calabrese
  • Patent number: 7012263
    Abstract: The ion source apparatus of the present invention includes at least one pair of antenna-opposed magnets sandwiching an antenna element and moveable to magnetic element and the antenna element both in horizontal and vertical directions in a plasma chamber, and a control means performing a positional adjustment over the antenna-opposed magnets to the antenna element in the plasma chamber. An electrons-generated region of high-concentration is formed around the antenna element through electric fields based on outputs of the antenna element and magnetic fields of the antenna-opposed magnets crossing the antenna element.
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: March 14, 2006
    Assignee: Sumitomo Eaton Nova Corporation
    Inventors: Hirohiko Murata, Masateru Sato
  • Patent number: 6991701
    Abstract: A plasma treatment method comprising exhausting a process chamber so as to decompress the process chamber, mounting a wafer on a suscepter, supplying a process gas to the wafer through a shower electrode, applying high frequency power, which has a first frequency f1 lower than an inherent lower ion transit frequencies of the process gas, to the suscepter, and applying high frequency power, which has a second frequency f2 higher than an inherent upper ion transit frequencies of the process gas, whereby a plasma is generated in the process chamber and activated species influence the wafer.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: January 31, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Hiroto Takenaka, Hiroshi Nishikawa
  • Patent number: 6955741
    Abstract: The present application provides a PECVD reaction chamber for processing semiconductor wafers comprising a susceptor for supporting a semiconductor wafer inside the reaction chamber wherein the susceptor comprises a plurality vertical through-bores, a moving means for moving the susceptor vertically between at least a first position and a second position, wafer-lift pins passing through the through-bores wherein the lower end of each wafer pin is attached to a lift member, and a lift member linked with an elevating mechanism for moving the wafer-lift pins vertically. The disclosed apparatus reduces contamination on the underside of the semiconductor wafer.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: October 18, 2005
    Assignee: ASM Japan K.K.
    Inventor: Takayuki Yamagishi
  • Patent number: 6942764
    Abstract: Contamination due to deposited particulate matter has been greatly reduced in single wafer sputter-etchers by coating the full interior of the sputtering shield with a layer of an arc-sprayed material such as aluminum, said layer being possessed of a high degree of surface roughness. The method for forming the coating of arc-sprayed aluminum is described and data comparing particulate contaminant count and product yield before and after the adoption of the present invention, are presented.
    Type: Grant
    Filed: August 24, 1995
    Date of Patent: September 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Kun Lin, Chin-Shien Yang, Chuan-Huai Chen
  • Patent number: 6936546
    Abstract: An apparatus for shaping and encapsulating near edge regions of a semiconductor wafer is described. A housing of the apparatus has a slot for receiving an edge of a wafer affixed on a rotatable chuck. At least one plasma source connected to the housing generates a flow of reactive gas towards the edge of the wafer. A channel in the housing directs a flow of diluent/quenching gas onto the wafer in close proximity to an exhaust channel for exhausting of the diluent/quenching gas and the reactive gas away from the wafer. The apparatus may also provide a plurality of plasma sources, for example, plasma sources for selectively etching of a polymer on the wafer, etching of silcon dioxide on the wafer and depositing an encapsulating silicon dioxide layer on the wafer.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: August 30, 2005
    Assignee: Accretech USA, Inc.
    Inventor: Michael D. Robbins
  • Patent number: 6924455
    Abstract: A material processing apparatus having an integrated toroidal plasma source is described. The material processing apparatus includes a plasma chamber that comprises a portion of an outer surface of a process chamber. A transformer having a magnetic core surrounds a portion of the plasma chamber. The transformer has a primary winding. A solid state AC switching power supply comprising one or more switching semiconductor devices is coupled to a voltage supply and has an output that is coupled to the primary winding. The solid state AC switching power supply drives an AC current in the primary winding that induces an AC potential inside the chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: August 2, 2005
    Assignee: Applied Science & Technology, Inc.
    Inventors: Xing Chen, Donald K. Smith, William M. Holber
  • Patent number: 6896775
    Abstract: Magnetically enhanced plasma processing methods and apparatus are described. A magnetically enhanced plasma processing apparatus according to the present invention includes an anode and a cathode that is positioned adjacent to the anode. An ionization source generates a weakly-ionized plasma proximate to the cathode. A magnet is positioned to generate a magnetic field proximate to the weakly-ionized plasma. The magnetic field substantially traps electrons in the weakly-ionized plasma proximate to the cathode. A power supply produces an electric field in a gap between the anode and the cathode. The electric field generates excited atoms in the weakly-ionized plasma and generates secondary electrons from the cathode. The secondary electrons ionize the excited atoms, thereby creating a strongly-ionized plasma.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: May 24, 2005
    Assignee: Zond, Inc.
    Inventor: Roman Chistyakov
  • Patent number: 6887317
    Abstract: A substrate support is provided that features a lift pin having at least one larger diameter shoulder section that forms a relief region between the lift pin and a guide hole disposed through a substrate support. The shoulder section minimizes contact between the substrate support and lift pin guide hole, thereby reducing pin scratching, particle generation, component wear, and increasing the useful life of the pin. In another embodiment, a flat-bottom tip is provided to promote self-standing of the lift pin, reducing pin tilting or leaning of the lift pin within the guide hole.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: May 3, 2005
    Assignee: Applied Materials, Inc.
    Inventors: David T. Or, Keith K. Koai, Hiroyuki Takahama, Takahiro Ito, Koji Ota, Hiroshi Sato
  • Patent number: 6786998
    Abstract: An assembly for holding a substrate is provided. The substrate has a first surface, a second surface, opposite the first surface and an outer peripheral portion. The assembly includes a holding body having a support surface for supporting the substrate. The holding body has an aperture for passing therethrough a gas having a thermal conductivity. Additionally, the assembly includes a heat transferring seal having a first surface for frictionally engaging the second surface of the substrate. The heat transferring seal has a second surface, opposite the first surface, for frictionally engaging the support surface of the holding body. The heat transferring seal also has an inner peripheral portion defining an opening for receiving the gas. The heat transferring seal has a thermal conductivity closely matched with the first thermal conductivity of the gas for providing substantially uniform heat transfer across the substrate.
    Type: Grant
    Filed: December 29, 1995
    Date of Patent: September 7, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventor: James Montague Cleeves
  • Patent number: 6736931
    Abstract: A plasma chamber enclosure structure for use in an RF plasma reactor. The plasma chamber enclosure structure being a single-wall dielectric enclosure structure of an inverted cup-shape configuration and having ceiling with an interior surface of substantially flat conical configuration extending to a centrally located gas inlet. The plasma chamber enclosure structure having a sidewall with a lower cylindrical portion generally transverse to a pedestal when positioned over a reactor base, and a transitional portion between the lower cylindrical portion and the ceiling. The transitional portion extends inwardly from the lower cylindrical portion and includes a radius of curvature. The structure being adapted to cover the base to comprise the RF plasma reactor and to define a plasma-processing volume over the pedestal. The structure being formed of a dielectric material of silicon, silicon carbide, quartz, and/or alumina being capable of transmitting inductive power therethrough from an adjacent antenna.
    Type: Grant
    Filed: October 2, 2001
    Date of Patent: May 18, 2004
    Inventors: Kenneth S. Collins, Michael Rice, John Trow, Douglas Buchberger, Craig A. Roderick
  • Patent number: 6679981
    Abstract: A plasma reaction chamber, particularly a DC magnetron sputter reactor, in which the plasma density and the ionization fraction of the plasma is increased by a plasma inductive loop passing through the processing space. A tube has its two ends connected to the vacuum chamber on confronting sides of the processing space. An RF coil powered by an RF power supply is positioned adjacent to the tube outside of the chamber and aligned to produce an RF magnetic field around the toroidal circumference of the tube such that an electric field is induced along the tube axis. Thereby, a plasma is generated in the tube in a loop circling through the processing space.
    Type: Grant
    Filed: May 11, 2000
    Date of Patent: January 20, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Shaoher X. Pan, Hiroji Hanawa, John C. Forster, Fusen Chen
  • Patent number: 6664497
    Abstract: An apparatus for dissociating gases includes a plasma chamber that may be formed from a metallic material and a transformer having a magnetic core surrounding a portion of the plasma chamber and having a primary winding. The apparatus also includes one or more switching semiconductor devices that are directly coupled to a voltage supply and that have an output coupled to the primary winding of the transformer. The one or more switching semiconductor devices drive current in the primary winding that induces a potential inside the chamber that forms a plasma which completes a secondary circuit of the transformer.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: December 16, 2003
    Assignee: Applied Science and Technology, Inc.
    Inventors: Donald K. Smith, Xing Chen, William M. Holber, Eric Georgelis
  • Publication number: 20030136664
    Abstract: A sputter etch system and a method of conducting a sputter etch. The sputter etch system includes an etch chamber with a wafer pedestal having a top surface to support a wafer and a magnet configured to provide a continuous magnetic field directed at the top surface of the wafer pedestal.
    Type: Application
    Filed: December 31, 1997
    Publication date: July 24, 2003
    Inventors: BRETT E. HUFF, KEN SCHATZ, MIKE MAXIM, WILLIAM G. PETRO
  • Publication number: 20030129455
    Abstract: A magnetically patterned hard disk is made by sputtering the magnetic layers, sputtering the carbon layer, applying a nonfunctional lubricant, buff/wiping the disk to remove sputtering debris and asperities, removing the nonfunctional lubricant using a standard degreasing process, applying and patterning a resist, and thereafter subjecting the exposed disk to inert ion irradiation.
    Type: Application
    Filed: March 26, 2002
    Publication date: July 10, 2003
    Inventors: Jean Ling Lee, Jing Gui
  • Publication number: 20030111337
    Abstract: An apparatus and a method for monitoring the ion concentration in an etching chamber of a sputter etch process are described, wherein the DC bias of a pre-clean process for a sputter etch process is acquired. The parameters of the pre-clean process for the sputter etch process are then adjusted according to the value of the monitored DC bias. The DC bias thus varies within a certain range to provide a steady control of the ion concentration and to reduce the defects formed in the wafer.
    Type: Application
    Filed: April 3, 2002
    Publication date: June 19, 2003
    Inventors: Chien-Chia Lin, Shih-Liang Chou, Kuo-Wei Shyu
  • Patent number: 6576860
    Abstract: A plasma processing method comprises the steps of supplying a low-frequency bias to a first electrode carrying a substrate, and supplying a high-frequency power to a second electrode facing the first electrode, wherein the low-frequency bias is supplied to the first electrode in advance of starting plasma by the energy of the high-frequency power, with an electric power sufficient to form an ion-sheath on the surface of the substrate.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: June 10, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Jun Ooyabu, Hideki Takeuchi, Akira Koshiishi
  • Patent number: 6554205
    Abstract: A gas jetting nozzle is used for etching an object to be etched by jetting etching gas onto the object from the gas jetting nozzle. A gas jetting pipe for jetting an etching gas and a suction pipe for discharging the jetted gas are provided to have a coaxial dual pipe structure. The etching gas is jetted from the gas jetting pipe toward the object for etching and, at the same time, excess etching gas for reaction is discharged through the suction pipe.
    Type: Grant
    Filed: September 23, 1999
    Date of Patent: April 29, 2003
    Assignee: Ebara Corporation
    Inventors: Syuhei Shinozuka, Kaori Miyoshi, Akira Fukunaga
  • Patent number: 6533910
    Abstract: A corrosion resistant component of semiconductor processing equipment such as a plasma chamber includes a carbonitride containing surface and process for manufacture thereof.
    Type: Grant
    Filed: December 29, 2000
    Date of Patent: March 18, 2003
    Assignee: Lam Research Corporation
    Inventors: Robert J. O'Donnell, John E. Daugherty, Christopher C. Chang
  • Publication number: 20030049545
    Abstract: Reticle blanks, and divided reticles made therefrom, are disclosed for use in charged-particle-beam microlithography. The subject reticle blanks and reticles exhibit substantially reduced warp and resist stress, and hence substantially reduced positional distortion, compared to conventional reticles and reticle blanks. A reticle blank includes a silicon membrane supported on a grillage of struts formed from a thick silicon support substrate. The support substrate is made and worked separately to form the grillage of support struts and the membrane. A separate silicon-on-insulator (SOI) wafer is formed, including a silicon “active” layer, a buried oxide (BOX) layer, and a support wafer. The surface of the active layer is bonded to the surface of the support substrate, and the support wafer and BOX layer are removed to complete fabrication of the reticle blank. The support substrate has a thickness of at least 1 mm.
    Type: Application
    Filed: September 6, 2002
    Publication date: March 13, 2003
    Applicant: Nikon Corporation
    Inventor: Norihiro Katakura
  • Patent number: 6531069
    Abstract: RIE processing chambers includes arrangements of gas outlets which force gas-flow-shadow elimination. Means are provided to control and adjust the direction of gases to the outlet to modify and control the direction of plasma flow at the wafer surface during processing. Means are provided to either move the exhaust paths for exhaust gases or to open and close exhaust paths sequentially, in a controlled manner, to modify flow directions of ions in the etching plasma. A combination of rotation/oscillation of a magnetic field imposed on the RIE chamber can be employed by rotation of permanent magnetic dipoles about the periphery of the RIE chamber or by controlling current through a coil wrapped around the periphery of the RIE process chamber to enhance the removal of the residues attributable to gas-flow-shadows formed by linear ion paths in the plasma.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Kamalesh K. Srivastava, Peter C. Wade, William H. Brearley, Jonathan H. Griffith
  • Patent number: 6514376
    Abstract: The invention is embodied in a plasma reactor including a plasma reactor chamber and a workpiece support for holding a workpiece near a support plane inside the chamber during processing, the chamber having a reactor enclosure portion facing the support, a cold body overlying the reactor enclosure portion, a plasma source power applicator between the reactor enclosure portion and the cold body and a thermally conductor between and in contact with the cold body and the reactor enclosure. The thermal conductor and the cold sink define a cold sink interface therebetween, the reactor preferably further including a thermally conductive substance within the cold sink interface for reducing the thermal resistance across the cold sink interface. The thermally conductive substance can be a thermally conductive gas filling the cold body interface. Alternatively, the thermally conductive substance can be a thermally conductive solid material.
    Type: Grant
    Filed: March 7, 2000
    Date of Patent: February 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Kenneth Collins, Michael Rice, Eric Askarinam, Douglas Buchberger, Craig Roderick
  • Patent number: 6506312
    Abstract: The present invention provides a method of reducing or delaying the exfoliation of deposited films within a vapor deposition system. The method of preventing the delamination of thin films deposited of a vapor deposition chamber components includes the steps of depositing a series of thin films on a discontinuous surface. The internal stress of the deposited thin film laminates are relaxed by fragmenting the deposited thin film laminates into a plurality of discontinuous surfaces. Thus allowing the exfoliation process of the thin film laminates to be delayed.
    Type: Grant
    Filed: April 26, 1999
    Date of Patent: January 14, 2003
    Inventor: Roger L. Bottomfield
  • Publication number: 20030009233
    Abstract: Regardless of the materials used in an artificial joint component design, the present invention applies gas cluster ion beam (GCIB) technology in order to modify the component's surface(s) so as to increase lubrication between contact surfaces, thereby substantially reducing wear debris, osteolysis complications, and accelerated wear failure. The approach of the surface modification comprises an atomic level surface patterning utilizing GCIB to apply a predetermined pattern to the surface(s) of the joint implant to reduce frictional wear at the interface of the surfaces. A reduction in wear debris by GCIB patterning on any surface(s) of a joint prosthesis reduces accelerated failure due to wear and osteolysis and results in a substantial cost savings to the healthcare system, and reduces patient pain and suffering.
    Type: Application
    Filed: May 9, 2002
    Publication date: January 9, 2003
    Applicant: Epion Corporation a Commonwealth of Massachusetts corporation
    Inventors: Stephen M. Blinn, Barry M. Zide, Vincent DiFilippo
  • Patent number: 6500314
    Abstract: A plasma etch reactor 20 includes a upper electrode 24, a lower electrode 24, a peripheral ring electrode 26 disposed therebetween. The upper electrode 24 is grounded, the peripheral electrode 26 is powered by a high frequency AC power supply, while the lower electrode 28 is powered by a low frequency AC power supply, as well as a DC power supply. The reactor chamber 22 is configured with a solid source 50 of gaseous species and a protruding baffle 40. A nozzle 36 provides a jet stream of process gases in order to ensure uniformity of the process gases at the surface of a semiconductor wafer 48. The configuration of the plasma etch reactor 20 enhances the range of densities for the plasma in the reactor 20, which range can be selected by adjusting more of the power supplies 30, 32.
    Type: Grant
    Filed: July 3, 1996
    Date of Patent: December 31, 2002
    Assignee: Tegal Corporation
    Inventors: Stephen P. DeOrnellas, Leslie G. Jerde, Alferd Cofer, Robert C. Vail, Kurt A. Olson
  • Patent number: 6491784
    Abstract: It is an object of the present invention to provide an upper electrode which is prevented from being contaminated with an impurity, has a sufficient adhesive strength between the pedestal and electrode plate of silicon, secures high-precision parallelism with the lower electrode, and hence improves the etching characteristics and silicon wafer yield. It is another object of the present invention to provide a dry etching device equipped with the upper electrode. The present invention provides an upper electrode for dry etching devices, comprising an electrode plate of silicon which is supported by a pedestal, wherein (a) the pedestal is made of graphite, and (b) the electrode plate of silicon is joined to the pedestal by an organic adhesive containing a filler having a Young's modulus of 6×109 to 68×109N/m2.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: December 10, 2002
    Assignee: Nisshinbo Industries, Inc.
    Inventors: Akira Yamaguchi, Fumitsugu Nakayama
  • Publication number: 20020162827
    Abstract: In accordance with the present invention, a method is provided for dry cleaning a processing chamber. This method comprises the step of introducing a first cleaning process gas into the processing chamber. A plasma is formed from the first cleaning process gas and maintained for a first time period. Next, repeating the step of introducing the cleaning process gas, a second cleaning process gas is introduced into the processing chamber and maintained the plasma for a second time period. As a result, the present invention is capable of removing polymer built up on the processing chamber's interior surfaces to achieve a high yield and maintaining throughput of the substrates in the plasma processing system.
    Type: Application
    Filed: May 2, 2001
    Publication date: November 7, 2002
    Inventors: Chia-Fu Yeh, Jui-Chun Kuo, Wen-Shan Wei, Wen-Sheng Chien
  • Publication number: 20020155361
    Abstract: A glass substrate is to be used as a photomask having a patterned light-shielding film on a surface thereof and thus exposed to light. The substrate is leveled by local plasma etching such that an exposure surface may have a flatness of 0.04-2.2 nm/cm2. Since the glass substrate is configured such that the exposure surface or hold surface of the resulting photomask is fully flat during the exposure step, it is suited for use as silica glass substrates for photomasks used in the photolithography of great interest in the fabrication of ICs, thus contributing to the achievement of finer patterns in the semiconductor field.
    Type: Application
    Filed: April 19, 2002
    Publication date: October 24, 2002
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Takeuchi, Yukio Shibano
  • Patent number: 6464843
    Abstract: A plasma processing chamber includes a substrate holder and a member of silicon carbide such as a liner, focus ring, perforated baffle or a gas distribution plate, the member having an exposed surface adjacent the substrate holder and the exposed surface being effective to minimize contamination during processing of substrates. The chamber can include an antenna which inductively couples RF energy through the gas distribution plate to energize process gas into a plasma state.
    Type: Grant
    Filed: August 3, 1999
    Date of Patent: October 15, 2002
    Assignee: Lam Research Corporation
    Inventors: Thomas E. Wicker, Alan M. Schoepp, Robert A. Maraschin
  • Patent number: 6454898
    Abstract: In accordance with a first aspect of the invention, a plasma reactor having a chamber for containing a plasma and a passageway communicating with the chamber is enhanced with a first removable plasma confinement magnet module placed adjacent the passageway including a first module housing and a first plasma confinement magnet inside the housing. It may further include a second removable plasma confinement magnet module placed adjacent the passageway including a second module housing, and a second plasma confinement magnet. Preferably, the first and second modules are located on opposite sides of the passageway. Moreover, the first and second plasma confinement magnets have magnetic orientations which tend to oppose plasma transport or leakage through the passageway. Preferably, the module housing includes a relatively non-magnetic thermal conductor such as aluminum and is in thermal contact with said chamber body.
    Type: Grant
    Filed: January 11, 2000
    Date of Patent: September 24, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Collins, Michael Rice, Douglas Buchberger, Craig Roderick, Eric Askarinam, Gerhard Schneider, John Trow, Joshua Tsui, Dennis Grimard, Gerald Yin, Robert Wu
  • Patent number: 6444085
    Abstract: The invention is embodied in an inductively coupled RF plasma reactor including a reactor chamber enclosure defining a plasma reactor chamber and a support for holding a workpiece inside the chamber, a non-planar inductive antenna adjacent the reactor chamber enclosure, the non-planar inductive antenna including inductive elements spatially distributed in a non-planar relative to a plane of the workpiece to compensate for a null in an RF inductive pattern of the antenna, and a plasma source RF power supply coupled to the non-planar inductive antenna. The planar inductive antenna may be symmetrical or non-symmetrical, although it preferably includes a solenoid winding such as a vertical stack of conductive windings. In a preferred embodiment, the windings are at a minimum radial distance from the axis of symmetry while in an alternative embodiment the windings are at a radial distance from the axis of symmetry which is a substantial fraction of a radius of the chamber.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: September 3, 2002
    Assignee: Applied Materials Inc.
    Inventors: Kenneth S. Collins, Michael Rice, John Trow, Douglas Buchberger, Craig A. Roderick
  • Patent number: 6439244
    Abstract: A sputter cleaning system and method are described which provide improved temperature control of the pedestal and thus of a substrate being sputter cleaned. The sputter cleaning system comprises a conducting metal pedestal to provide a conducting surface beneath a substrate being sputter processed. A cooling channel is formed in the metal pedestal. In one example the cooling channel typically is made up of a number of concentric, interconnected, circular cooling sub-channels. Other shape cooling channels, such as radial, can also be used. An inlet tube delivers a cooling liquid, such as water, to the cooling channel and an exhaust tube removes the cooling liquid from the cooling channel thereby removing heat from the pedestal. The cooling liquid removes heat from the pedestal, thereby controlling the temperature of the pedestal and the substrate undergoing sputter cleaning.
    Type: Grant
    Filed: October 13, 2000
    Date of Patent: August 27, 2002
    Assignee: ProMos Technologies, Inc.
    Inventor: Hsiao-Che Wu
  • Patent number: 6436253
    Abstract: The uniformity of material removal, as well as contamination due to deposited particulate matter, has been reduced in single wafer sputter-etchers by providing an improved gas baffle. Said gas baffle presents a smooth surface to the incoming sputtering gas so that it disperses uniformly throughout the sputtering chamber, thereby avoiding local fluctuations in pressure which, in turn, can lead to local differences in material removal rate as well as to particulate contamination of the surface that is being etched. The design of the baffle is described along with a method for attaching it to the inside of the sputtering shield.
    Type: Grant
    Filed: December 6, 1999
    Date of Patent: August 20, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chin-Shien (Tony) Yang, Chuan-Huai Chen, Cheng-Kun Lin
  • Patent number: 6432260
    Abstract: There is provided by this invention a novel inductively coupled plasma source apparatus that utilizes a transformer to induce closed path secondary plasma currents in a hollow metal housing that is directly cooled by a fluid. This plasma source apparatus is particularly useful for generating a high charged particle density source of ions, electrons, and chemically active species to serve various plasma related processes that may require high power densities. A hollow metal vacuum chamber is coupled to and electrically insulated from a metal vacuum process chamber by means of dielectric gaps that are well shielded from direct exposure to the plasma body. Electrons, photons and excited gaseous species are generated within the metal hollow chamber and process chamber to serve a wide variety of material, surface and gas processing applications. There is also provided by this invention a means of ganging together several hollow metal vacuum chamber assemblies about a single vacuum process chamber.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: August 13, 2002
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Leonard J. Mahoney, Gregory A. Roche, Daniel C. Carter
  • Patent number: 6409896
    Abstract: A method and apparatus for detecting the presence of a plasma. The apparatus comprises an electrically floating contact member that is exposed to a plasma forming region, for example, a semiconductor wafer processing chamber. The floating contact is coupled to a measuring device. When a plasma is present in the plasma forming region, the plasma induces a voltage upon the floating contact which is detected by the measuring device.
    Type: Grant
    Filed: December 1, 1999
    Date of Patent: June 25, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Steve Crocker
  • Patent number: 6395157
    Abstract: A method and apparatus for conditioning a surface of a ceramic body in a process chamber when the process chamber has a vacuum pump, an anode and a cathode. The conditioning method consists of pumping the process chamber down to a vacuum with the vacuum pump, introducing a gas into the chamber, energizing the anode and cathode with RF power to ignite the gas into a plasma, sputter etchinq the surface with ions from the plasma to remove contaminants therefrom. The method is accomplished either within a process chamber to condition, in situ, a ceramic chuck or within a cleaning chamber to condition any form of ceramic body or component.
    Type: Grant
    Filed: September 23, 1998
    Date of Patent: May 28, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Nitin Khurana, Vince Burkhart, Steve Sansoni, Vijay Parkhe, Eugene Tzou
  • Patent number: 6375860
    Abstract: The occurrence of internally-formed contaminants or negatively-charged particulates within a plasma is minimized by preventing such from becoming trapped in the plasma. The plasma is formed in a plasma chamber having control electrodes and reference electrodes. The control electrodes are biased with a negative potential. The plasma assumes a potential more positive than the control electrodes. The reference electrodes are then biased to be more positive than the plasma. Hence, negative ions or negatively-charged particulates in the plasma are attracted to the more positive reference electrodes, and thus escape the plasma without being trapped therein, and are not available to serve as nucleation or agglomeration points for contaminants. A pair of Helmholtz coils produce a magnetic field having magnetic field lines that run longitudinally between the control electrodes.
    Type: Grant
    Filed: March 10, 1995
    Date of Patent: April 23, 2002
    Assignee: General Atomics
    Inventors: Tihiro Ohkawa, Stanley I. Tsunoda
  • Patent number: 6337003
    Abstract: The invention provides a vacuum apparatus, which is equipped with a drive mechanism of small size and does not need a special mechanism for vacuum seals. This drive mechanism includes an air bag container 41 which is provided fixedly in an airtight vessel with one end open, an air bag 42 stored in the container, and a source for supplying high pressure gas penetrating through the air bag container 41. A part of the air bag 42 is projected from the open end of the air bag container 41 by supplying air bag 42 with a high pressure gas with a high pressure gas supply source 43, thereby moving objects in the vacuum vessel.
    Type: Grant
    Filed: August 18, 2000
    Date of Patent: January 8, 2002
    Assignee: Shibaura Mechatronics Corporation
    Inventors: Kyoji Kinokiri, Jiro Ikeda, Yoshifumi Oda
  • Publication number: 20020000368
    Abstract: The invention relates to a vacuum treatment chamber for work pieces which comprises at least one induction coil for at least co-generating a treatment plasma in a discharge chamber which is located in the interior of the coil. It also comprises a screen which is arranged between the discharge chamber and the coil, and which is coaxial in relation to the axis of the coil. The screen comprises slots which have a directional component which is parallel to the coil axis. The screen is formed by a self-contained body. The slots are provided along at least the main part of the body's circumference in a slot density per circumferential length unit of S=(number of slots)/cm equaling 0.5≦S.
    Type: Application
    Filed: March 29, 2001
    Publication date: January 3, 2002
    Inventor: Juergen Weichart