Forming Or Treating Mask Used For Its Nonetching Function (e.g., Shadow Mask, X-ray Mask, Etc.) Patents (Class 216/12)
  • Patent number: 6120942
    Abstract: A photomask for manufacturing a semiconductor device. The photomask is manufactured by a providing a photomask substrate and alternately depositing a plurality of layers of a light-absorbing material and of an etch-stop material on the photomask substrate. The light-absorbing material is selected as having a well-defined etching selectivity from that of the etch-stop material. The layers are successively patterned by removing by a selective etching process at least a portion of at least one of said layers, the portion removed from a lower, in relation to the substrate, layer a subset of the portion removed from a higher layer. Together, the patterned layers are used as a photomask to photolithographically imprint a pattern of a photoresist on a semiconductor wafer under manufacture. The photoresist is used in the etching process of the semiconductor wafer.
    Type: Grant
    Filed: February 18, 1997
    Date of Patent: September 19, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6117774
    Abstract: A method of manufacturing a shadow mask by making use of a coating apparatus, wherein a gravure roll 20 mm to 60 mm in diameter is disposed below a metallic thin plate and any supporting member is not disposed at an opposite side portion of the metallic thin plate to be contacted with the gravure roll. An etching resistant liquid is fed onto the gravure roll being rotated in a direction opposite to that of the metallic thin plate and at a peripheral speed of 4 to 25 times as high as that of a feeding speed of the metallic thin plate, and an excessive portion of the etching resistant liquid is wiped away by the doctor blade before the etching resistant liquid is transferred to the metallic thin plate thereby to form an etching resistant layer on the metallic thin plate.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: September 12, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaru Nikaido, Yasuhisa Ohtake, Sachiko Hirahara
  • Patent number: 6099698
    Abstract: It is an object of the present invention to provide a method of making a magnetic disk having a uniform textured structure with micro-waviness of fabrication depth of less than 20 nm, preferably less than 10 nm, and a local depth deviation of less than 5%, in which texture patterns are characterized by the fact that lateral surfaces of the structure are sloped or curved. The object has been achieved in a method for making a magnetic disk having micro-waviness on a fabrication surface of a substrate for reducing dynamic friction and controlling head float, by rotating and irradiating the fabrication surface with a high energy beam from a beam source at an inclined angle to the substrate surface, through a shielding mask having a specific pattern, so as to process a transcription pattern on the substrate surface to produce a textured structure with micro-waviness having sloped or curved side surfaces.
    Type: Grant
    Filed: October 2, 1998
    Date of Patent: August 8, 2000
    Assignee: Ebara Corporation
    Inventors: Masahiro Hatakeyama, Katsunori Ichiki, Kenji Watanabe, Kazuo Yamauchi, Shinta Kunitomo, Tohru Satake, Yasushi Tohma, Juichi Ishiguro
  • Patent number: 6069931
    Abstract: A mask holding system includes a chucking mechanism for holding a mask structure including a frame and a mask substrate having a rectangular window with a mask pattern, and a load mechanism for applying, at a position along an extension of a diagonal of the rectangular window, a load to the frame in a direction along the plane of the mask, whereby the mask pattern can be distorted isotropically with the application of the load along the mask plane.
    Type: Grant
    Filed: February 25, 1998
    Date of Patent: May 30, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takeshi Miyachi, Shinichi Hara
  • Patent number: 6033589
    Abstract: The present invention discloses a method for depositing a coating layer on an article without edge bead formation by integrating the steps of an edge bead rinsing process with a coating spin-out process such that an edge portion of the wafer can be efficiently cleaned with a cleaning solvent when the coating material is still in its liquid state. While the present invention method can be applied to any coating materials and to any coated substrate, it is particularly suitable for cleaning a spin-on-glass material from a semiconductor wafer such that the wafer edge is not coated with a SOG material and thus particulate contamination caused by cracked SOG from the wafer edge can be avoided.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: March 7, 2000
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Hsiang-Lin Lin
  • Patent number: 6025278
    Abstract: In one aspect, the invention includes a method for manufacturing a semiconductive wafer comprising: a) providing a semiconductive material wafer having a front surface and a back surface; b) contacting the front surface with a first fluid; c) contacting the back surface with a second fluid different than the first fluid, at least one of the first and second fluids being configured to etch the semiconductive material of the wafer; at least one of the first and second fluids having a measurable component at a first concentration which is different than any concentration of said measurable component in the other of the first and second fluids; d) etching the semiconductive wafer with the at least one of the first and second fluids configured to etch the semiconductive material; and e) monitoring the measurable component concentration in at least one of the first fluid or the second fluid to ascertain if the etching has formed an opening extending completely through the substrate.
    Type: Grant
    Filed: August 22, 1997
    Date of Patent: February 15, 2000
    Assignee: Micron Technology, Inc.
    Inventor: J. Brett Rolfson
  • Patent number: 6022809
    Abstract: A composite shadow ring for use in an etch chamber that does not generate contaminating oxygen gas when bombarded by a gas plasma and a method for using such composite shadow ring are presented. The composite shadow ring may have a structure of a body portion of a ring shape that is made of a material that is substantially of silicon dioxide and an insert portion which is intimately joined to the body portion and is adjacent to a plasma cloud in the etch chamber when the shadow ring is positioned juxtaposed to the wafer, the insert portion of the shadow ring may also have a ring shape and is eccentric with the body portion, it generally has a diameter smaller than a diameter of the body portion, the insert portion may be fabricated of a material that does not generate oxygen when bombarded by a fluorine-containing gas plasma. The body portion may have a crosssection of a rectangle which has an upper inner corner of the rectangle missing to form a cavity for receiving an insert member intimately therein.
    Type: Grant
    Filed: December 3, 1998
    Date of Patent: February 8, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yuh-Da Fan
  • Patent number: 6007951
    Abstract: The present invention provides a method for precise alignment of a photomask with the crystalline lattice structure of a semiconductor wafer. An etchmask including a predetermined window configuration is used to etch an alignment guide into the semiconductor substrate. The window configuration includes a plurality of pattern units and a straight, elongated alignment window, each of which has an angular offset with respect to the alignment window. The pattern units are analyzed after etching to determine the pattern unit having the most uniform undercutting. All subsequent photomasks include an alignment array having a plurality of alignment vernier. The vernier corresponding to the pattern unit having the most uniform undercutting is selected for aligning with the long alignment V-groove.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: December 28, 1999
    Assignee: The Whitaker Corporation
    Inventors: Songshen Tan, Jeff Schramm
  • Patent number: 5972794
    Abstract: Methods are disclosed for manufacturing silicon stencil masks for use in charged-particle-beam microlithography. According to the method, a boron-doped layer is formed on a silicon substrate, a mask pattern is formed on the boron doped layer, and the boron-doped layer is etched according to the mask pattern to form voids in the boron-doped layer. The voids do not extend completely through the thickness of the boron-doped layer. In subsequent steps, a silicon nitride layer is applied and etched to form openings in which the silicon substrate is etched away to form struts. Because the boron-doped layer is not completely etched through in the earlier etching step, the mask is much more resistant to fracture in a subsequent cleaning step. In a final step after cleaning, the boron-doped layer is etched to extend the voids completely through the thickness of the boron-doped layer.
    Type: Grant
    Filed: March 18, 1998
    Date of Patent: October 26, 1999
    Assignee: Nikon Corporation
    Inventor: Norihiro Katakura
  • Patent number: 5935734
    Abstract: A method for fabricating photomasks including forming a resist layer located over a substrate, and heating the substrate at a temperature greater than the glass transition temperature of the resist, such that the resist layer flows. In this manner, defects such as pinholes within the resist layer are reduced.
    Type: Grant
    Filed: March 3, 1997
    Date of Patent: August 10, 1999
    Assignee: Micron Technology, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 5914202
    Abstract: A method is providing for making a multi-level reticle which transmits a plurality of incident light intensities, which in turn, are used to form a plurality of thicknesses in a photoresist profile. A partially transmitting film, used as one of the layers of the reticle, is able to provide an intermediate intensity of phase shifted light. The intermediate intensity light has an intensity approximately midway between the intensity of the unattenuated light passing through the reticle substrate layer, and the totally attenuated light blocked by an opaque layer of the reticle. The exposed photoresist receives light at two intensities to form a via hole in the resist in response to the higher intensity light, and a connecting line to the via at an intermediate level of the photoresist in response to the intermediate light intensity. A method for forming the multi-level resist profile from the multi-level reticle is provided as well as a multi-level reticle apparatus.
    Type: Grant
    Filed: June 10, 1996
    Date of Patent: June 22, 1999
    Assignees: Sharp Microeletronics Technology, Inc., Sharp Kabushiki Kaisha
    Inventors: Tue Nguyen, Bruce Dale Ulrich, David Russell Evans
  • Patent number: 5882534
    Abstract: A multistage phase shift mask includes a light transmissive substrate having light shielding regions and light transmissive regions. A shielding layer is disposed on the shielding regions of the substrate and a phase shifter layer extends over the light transmissive regions between a pair of the shielding regions. A first etched portion on the substrate is adjacent to the phase shifter layer that contacts with the substrate and a second etched portion on the substrate is between the phase shifter layer and the first etched portion of the substrate.The second etched portion consists of a gradual concave slope allowing a phase shift from approximately 0 to 180 degrees.
    Type: Grant
    Filed: May 17, 1995
    Date of Patent: March 16, 1999
    Assignee: LG Semicon Co., Ltd.
    Inventor: Young Jin Song
  • Patent number: 5871870
    Abstract: A mask and a method for forming a mask on a surface of an underlying layer of material used in semiconductor device manufacturing. The mask is a mixture of mask particles and spacer particles. The spacer particles space the mask particles apart from one another to control the distance and the uniformity of the distribution of mask particles across the surface of the underlying layer. The spacer particles and mask particles have different physical properties that allow the spacer particles to be selectively removed from the surface of the underlying layer. The spacer particles are preferably removed from the surface of the underlying layer by selectively etching the spacer particles from the underlying layer. After the spacer particles are removed from the underlying layer, the mask particles remain on the underlying layer to provide spaced apart mask elements on the surface of the underlying layer.
    Type: Grant
    Filed: August 19, 1997
    Date of Patent: February 16, 1999
    Assignee: Micron Technology, Inc.
    Inventor: James J. Alwan
  • Patent number: 5868947
    Abstract: A processed Si product suitable for use as, for example, an X-ray mask, is produced by a process having the steps of preparing a non-porous Si substrate, changing by anodization at least a portion of the substrate into porous Si thereby forming at least one porous Si region penetrating the substrate from one to the other side thereof, and effecting an etching on the substrate by using an etchant containing hydrofluoric acid so as to remove the porous Si region. The substrate may be provided with an etching stop layer. In such a case, an unsupported membrane region formed by the etching stop layer is left after the removal of the porous Si region.
    Type: Grant
    Filed: January 3, 1996
    Date of Patent: February 9, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kiyofumi Sakaguchi, Takao Yonehara
  • Patent number: 5863681
    Abstract: A shadow mask of two different metals which are cold rolled into adhesion with the shadow mask made from a first metal having a coefficient of thermal expansion on the order of glass and a second metal having a coefficient of thermal expansion substantially greater than glass with the first metal forming a framework for holding the second metal in position even though the temperature of the first and second metals is elevated.
    Type: Grant
    Filed: September 19, 1996
    Date of Patent: January 26, 1999
    Assignee: Wickeder Westgalenstahl GmbH
    Inventor: Klaus-Peter Helmetag
  • Patent number: 5858256
    Abstract: A thick column is formed by masking and etching a substrate, and the column is thinned to a very small diameter (e.g., .ltoreq.5 nm) by oxidizing the column and removing the oxide layer. A metal layer is deposited on the surface of the substrate, and the column and substrate are etched to form a pit. The backside of the substrate is etched to form an aperture surrounded by the metal layer. Alternatively, the metal layer is removed and a dopant layer is implanted into the substrate, followed by the etching of the backside, leaving an aperture surrounded by the dopant layer. In a second alternative, the oxidized column is broken from the substrate, and the backside is etched, leaving an aperture surrounded by an oxide layer. These processes can be used to fabricate apertures of very small and reproducible dimensions for such instruments as near field scanning optical microscopes and scanning ion conductance microscopes.
    Type: Grant
    Filed: July 11, 1996
    Date of Patent: January 12, 1999
    Assignee: The Board of Trustees of the Leland Stanford, Jr. University
    Inventors: Stephen C. Minne, Calvin F. Quate
  • Patent number: 5830373
    Abstract: A color cathode ray tube has a face panel, a phosphor screen formed on an inner surface of the face panel, an electron gun for emitting electron beams toward the phosphor screen, and a shadow mask arranged between the electron gun and the face panel to oppose the phosphor screen. The shadow mask has a large number of electron beam apertures through which the electron beams pass. Each of the electron beam apertures has a small opening open to a first surface of the shadow mask and a large opening open to a second surface of the shadow mask and communicating with the small opening. The large opening has a center axis and a diameter larger than that of the small opening. A wall surface of the shadow mask which defines the large opening of each of the electron beam apertures located at a peripheral portion of the shadow mask includes a bulged portion.
    Type: Grant
    Filed: October 23, 1996
    Date of Patent: November 3, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasuhisa Ohtake, Seiji Sago, Mitsuaki Yamazaki, Sachiko Muramatsu
  • Patent number: 5830332
    Abstract: The present invention relates to a method of reactive sputtering for depositing an amorphous hydrogenated carbon film (a-C:H) from an argon/hydrocarbon/hydrogen/oxygen plasma, preferably an Ar/acetylene-helium/hydrogen/oxygen plasma. Such films are optically transparent in the visible range and partially absorbing at ultraviolet (UV) and deep UV (DUV) wavelengths, in particular, 365, and 248, 193 nm. Moreover, the films produced by the present invention are amorphous, hard, scratch resistant, and etchable by excimer laser ablation or by oxygen reactive ion etch process. Because of these unique properties, these films can be used to form a patterned absorber for UV and DUV single layer attenuated phase shift masks. Film absorption can also be increased such that these films can be used to fabricate conventional photolithographic shadow masks.
    Type: Grant
    Filed: January 9, 1997
    Date of Patent: November 3, 1998
    Assignee: International Business Machines Corporation
    Inventors: Edward D. Babich, Alessandro Cesare Callegari, Fuad Elias Doany, Sampath Purushothaman
  • Patent number: 5814235
    Abstract: Air cross grids, for absorbing scattered secondary radiation and improving X-ray imaging in general radiography and in mammography, are provided with a large plurality of open air passages extending through each grid panel. These passages are defined by two large pluralities of substantially parallel partition walls, respectively extending transverse to each other. Each grid panel is made by laminating a plurality of thin metal foil sheets photo-etched to create through openings defined by partition segments. The etched sheets are aligned and bonded to form the laminated grid panel, which is moved edgewise during the X-ray exposure to pass primary radiation through the air passages while absorbing scattered secondary radiation arriving along slanted paths.
    Type: Grant
    Filed: December 3, 1996
    Date of Patent: September 29, 1998
    Assignee: Thermo Trex Corporation
    Inventors: Anthony J. Pellegrino, Daniel N. Lyke, David P. Lieb, Joseph A. Buturlia, Michael P. Appleby
  • Patent number: 5792578
    Abstract: This invention describes a new method of forming a double layer attenuating phase shifting mask. A first pattern is formed in a layer of attenuating phase shifting material and an alignment pattern is formed in a layer of opaque material. A first resist is used to form the first pattern. A pellicle is used to restrict the deposition of a second resist to the alignment region of the mask only and as a result neither the first resist nor the second resist must withstand dry etching steps. The first resist is removed before the step of forming the first pattern in the attenuating phase shifting material and cleaning before this step is carried out is thereby improved.
    Type: Grant
    Filed: January 13, 1997
    Date of Patent: August 11, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: San-De Tzu, Jia-Jing Wang, Chih-Chiang Tu, Wen-Hong Huang
  • Patent number: 5779920
    Abstract: The present invention provides luminescent screens with a mask layer, methods of manufacturing the screens, and display devices incorporating the screens. The mask layer is attached to a matrix defining the pixels in the screen and preferably includes voids formed therethrough corresponding to each pixel. The voids in the mask layer preferably have a size generally corresponding to that of the pixels near the phosphor material and narrow in the direction of the electron source.
    Type: Grant
    Filed: November 12, 1996
    Date of Patent: July 14, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Surjit S. Chadha, Dean A. Wilkinson
  • Patent number: 5730887
    Abstract: In accordance with the present invention, a display apparatus 8 comprises a color CRT 10 having an evacuated envelope 11 with a faceplate panel 12 sealed to one end of a funnel 15 that is closed at the other end by a neck 14. The faceplate panel has a luminescent screen 22 on an interior surface thereof. A shadow mask 25 is located in proximity to the screen. The shadow mask comprises a metal sheet having a central portion and an exterior portion with a plurality of apertures 40, 43 therethrough. An electron gun 26 is disposed within the neck for generating and directing electron beams 28 toward the screen. A deflection yoke 30 is disposed around the envelope at the junction of the neck and the funnel. The yoke deflects the beams to scan a raster across the screen.
    Type: Grant
    Filed: April 1, 1996
    Date of Patent: March 24, 1998
    Assignee: Thomson Consumer Electronics, Inc.
    Inventors: Theodore Frederick Simpson, Istvan Gorog, Bruce George Marks, Charles Michael Wetzel, Craig Clay Eshleman
  • Patent number: 5725786
    Abstract: The durable mask includes a polyimide layer formed over a portion of a semiconductor substrate to be masked. A heavy metal layer is then formed over the polyimide layer. An adhesion layer is formed between the polyimide layer and the heavy metal layer to insure adhesion of the heavy metal layer to the polyimide layer. The durable mask may mask, for example, a heterojunction bipolar transistor formed in the semiconductor substrate prior to an ion implantation process. Furthermore, the mask is removed from the substrate by eliminating the adhesion between the mask and substrate or by dissolving the polyimide layer.
    Type: Grant
    Filed: November 20, 1995
    Date of Patent: March 10, 1998
    Inventor: Burhan Bayraktaroglu
  • Patent number: 5718829
    Abstract: A phase shift structure and a method for forming the phase shift structure are provided. The phase shift structure includes: a transparent substrate; a phase shifter etched into the substrate; and a pair of opaque members formed on the substrate on either side of the phase shifter. The phase shift structure is adapted to print an isolated linear feature such as an isolated line for a semiconductor integrated circuit. During a lithographic process using the phase shift structure, the inside edges of the opaque members do not print due to the effect of the phase shifter. The longitudinal edges of the printed feature correspond to the outside edges of the opaque members. The width of the phase shifter can be adjusted to minimize light leakage in the interior of the printed feature.
    Type: Grant
    Filed: September 1, 1995
    Date of Patent: February 17, 1998
    Assignee: Micron Technology, Inc.
    Inventor: Christophe Pierrat
  • Patent number: 5718738
    Abstract: In accordance with the invention, a continuously chirped fiber Bragg grating is made by fabricating a continuously chirped phase mask and using the mask to write a Bragg grating on a parallel fiber. The chirped phase mask is made by exposing a photoresist-coated mask substrate to two interfering beams: one a collimated beam and the other a beam reflected from a continuously curved mirror. After etching, the resulting phase mask can be used to write a chirped fiber grating having a continuously varying grating period without physical modification of the fiber. The resulting fiber grating has a widened bandwidth and uniform dispersive delay characteristics useful for dispersion compensation in critical telecommunications applications.
    Type: Grant
    Filed: November 4, 1996
    Date of Patent: February 17, 1998
    Assignee: Lucent Technologies Inc.
    Inventors: Glenn Eric Kohnke, Thomas A. Strasser
  • Patent number: 5709804
    Abstract: An aperture grill for a cathode ray tube is formed with parallel slits by etching a cold-rolled low-carbon steel plate from the opposite sides thereof. Before carrying out the etching, the steel plate is subjected to an annealing step whereby the residual stress is reduced to 7.0 Kg/mm.sup.2 or less. The steel plate is also subjected to a tensile force for imparting a tension in the direction of the rolling of a hoop steel from which the steel plate is produced. Furthermore, the steel plate is so oriented that the direction of tapes of the aperture grill to be produced will coincide with the rolling direction. The above process makes it possible to prevent occurrence of "streaks" and improves the quality of images generated on the cathode ray tube.
    Type: Grant
    Filed: June 5, 1996
    Date of Patent: January 20, 1998
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Akira Makita, Yutaka Matsumoto, Takahito Aoki
  • Patent number: 5679267
    Abstract: A novel reticulated array comprises islands of ceramic (e.g. BST 40) which are fabricated from novel materials using unique methods of patterning. A shallow etch stop trench (46) is first ion milled around each ceramic island on the front side and then filled with an etch step material (e.g. parylene 48). An optical coat (e.g transparent metal layer 54, transparent organic layer 56 and conductive metallic layer 58) is elevated above the etch step material by an elevation layer (e.g. polyimide 49). For some applications, it has been experimentally verified that there is no loss, and sometimes a measured increase, in optical efficiency when the optical coating is not planar in topology. Novel fabrication methods also provide for the convenient electrical and mechanical bonding of each of the massive number of ceramic islands to a signal processor substrate (e.g. Si 86) containing a massive array of sensing circuits.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: October 21, 1997
    Assignee: Texas Instruments Incorporated
    Inventors: James F. Belcher, Robert A. Owen
  • Patent number: 5674409
    Abstract: A nanolithographic method for forming fine features is disclosed. A carrier layer, such as a photoresist, is deposited on a substrate. A relatively large pattern is imposed on the carrier layer by means of conventional photolithographic methods. The carrier layer is then exposed to a maskless etch, such as by ashing in oxygen, such that non-volatile materials within the carrier layer aggregate along the center line of the pattern, forming a residual pattern of significantly reduced width when compared to the original carrier layer pattern.
    Type: Grant
    Filed: March 16, 1995
    Date of Patent: October 7, 1997
    Assignee: International Business Machines Corporation
    Inventor: K. Paul Ludwig Muller
  • Patent number: 5667700
    Abstract: A process for the fabrication of a structural element, in particular an optical element comprises a carrier substrate as well as a layer system, with at least one dielectric layer stepped with respect to its thickness in at least one region opposite at least one second region. The dielectric layer is of the type MeO.sub.x and is applied onto a base, where Me is a metal whose atomic mass is at least 44, and x is selected so that the coefficient of absorption of the layer material at light of wavelength .lambda.=308 nm is k.sub.308 .ltoreq.0.01. The layer is built up through reactive etching by means of an activated gas for the step formation of the thickness. Other related processes and examples of the elements themselves are also disclosed.
    Type: Grant
    Filed: February 14, 1994
    Date of Patent: September 16, 1997
    Assignee: Balzers Aktiengesellschaft
    Inventors: Helmut Rudigier, Johannes Edlinger
  • Patent number: 5656182
    Abstract: The present invention is directed to a process for device fabrication in which a spatially resolved latent image of latent features in an energy sensitive resist material is used to control process parameters. In the present process, an energy sensitive resist material is exposed to radiation using a patternwise or blanket exposure. An image of the latent effects of the exposure is obtained using a near-field imaging technique. This image of the latent effects of the exposure is used to control parameters of the lithographic process such as focus, lamp intensity, exposure dose, exposure time, and post exposure baking by comparing the image so obtained with the desired effects of the exposure and adjusting the relevant lithographic parameter to obtain the desired correlation between the image obtained and the desired effect.
    Type: Grant
    Filed: February 21, 1995
    Date of Patent: August 12, 1997
    Assignee: Lucent Technologies Inc.
    Inventors: Herschel Maclyn Marchman, Anthony Edward Novembre, Jay Kenneth Trautman
  • Patent number: 5620573
    Abstract: X-ray masks are typically made by depositing and patterning a layer of heavy metal on a thin supporting membrane. The metal layer must have a relatively low and uniform stress to prevent stress-induced deformation of the pattern. Tungsten films having excellent stress characteristics are produced by employing a continuously operating capacitance-based measurement technique to allow adjustment of the deposition conditions in rapid response to changes in stress of the film being deposited. The stress gradients in the film are further reduced by transferring heat from the membrane as the metal is deposited thereon.
    Type: Grant
    Filed: April 28, 1995
    Date of Patent: April 15, 1997
    Assignee: Lucent Technologies Inc.
    Inventors: Charles W. Jurgensen, Ratnaji R. Kola, Gabriel L. Miller, Henry I. Smith, Eric R. Wagner
  • Patent number: 5591549
    Abstract: The invention describes the fabrication and use of a sub-resolution phase shift mask. The mask is formed using a single alignment step with all other alignment steps being accomplished by self alignment. This self alignment is made possible by using vertical anisotropic etching of an opaque material layer to form opaque spacers at the pattern edges of phase shifting material. The opaque spacers combine with phase shifting and other opaque regions of the mask to provide improved image resolution and depth of focus tolerance at the surface of an integrated circuit wafer.
    Type: Grant
    Filed: September 16, 1994
    Date of Patent: January 7, 1997
    Assignee: United Microelectronics Corporation
    Inventor: Ming-Tzong Yang
  • Patent number: 5567552
    Abstract: A method for fabricating a phase shift mask is disclosed. In order to make the phase shift mask, an etching groove is formed on the light shielding portion of the quartz substrate and chrome is then formed on the center portion of the etching groove. The phase shift mask produces a phase shift effect without using phase shift materials, thereby increasing the optical contrast.
    Type: Grant
    Filed: March 9, 1995
    Date of Patent: October 22, 1996
    Assignee: Hyundai Electronics Industries
    Inventor: Young Mok Ham
  • Patent number: 5567551
    Abstract: A mask for ion beam lithography is made by coating a front side, sidewalls, nd a backside of a substrate with an insulating layer; opening, on the front side of the substrate, a window in the insulating layer to expose a front substrate surface; depositing an oxide membrane on the front substrate surface; opening a portion of the insulating material on the backside of the substrate to form an exposed backside of the substrate; forming a photoresist layer on the oxide membrane; patterning the photoresist layer; ion beam etching the oxide membrane through the patterned photoresist layer to completely remove selected portions of the oxide membrane and form a stenciled pattern in the oxide membrane; removing the patterned photoresist layer from the stenciled oxide membrane; removing, from the backside of the substrate, the exposed backside of the substrate to expose a backside of the stenciled pattern in the oxide membrane, thus leaving a stenciled oxide membrane, corresponding to the stenciled oxide pattern, hel
    Type: Grant
    Filed: April 4, 1994
    Date of Patent: October 22, 1996
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Joseph Yahalom, Martin Peckerar
  • Patent number: 5561010
    Abstract: A phase shift optical mask is adapted to receive exposure light and is provided with a transparent substrate, a phase shifter shifting a phase of the exposure light with respect to the phase of the exposure light transmitted through the transparent substrate, and a transparent layer interposed between the transparent substrate and the phase shifter. The transparent layer shifts the phase of the exposure light by 90.degree.+180.degree..multidot.n and n is an integer.
    Type: Grant
    Filed: March 23, 1995
    Date of Patent: October 1, 1996
    Assignee: Fujitsu Limited
    Inventors: Isamu Hanyu, Satoru Asai
  • Patent number: 5538151
    Abstract: A structure and method for removing and recovering an anodically bonded glass device from a substrate using a metal interlayer interposed between the glass and the substrate is provided. As used in semiconductor mask fabrication, the structure comprises a silicon wafer substrate coated with a membrane on which a metal interlayer is disposed. The metal interlayer and a glass device are anodically bonded together. Recovery of the glass device is accomplished by chemically and mechanically removing the wafer and its membrane from the metal interlayer. The membrane is preferably removed using reactive ion etching to which the metal interlayer is resistant. The metal interlayer is then removed from the glass device using a highly corrosive chemical solution. The recovered glass device may then be reused.
    Type: Grant
    Filed: January 20, 1995
    Date of Patent: July 23, 1996
    Assignee: International Business Machines Corp.
    Inventors: Thomas B. Faure, Kurt R. Kimmel, Wilbur D. Pricer, Charles A. Whiting
  • Patent number: 5536603
    Abstract: A photoresist pattern is formed on a quartz substrate. The quartz substrate is dipped into a silicon oxide supersaturated solution of hydrofluoric acid, and a silicon oxide is precipitated out of the supersaturated solution, thereby forming an SiO.sub.2 film on that exposed surface of the quartz substrate which is not covered with the photoresist pattern. After that, the photoresist pattern is ashed by oxygen plasma, and the ashed pattern is removed. The SiO.sub.2 film remaining on the quartz substrate serves as a phase shifter.
    Type: Grant
    Filed: December 20, 1994
    Date of Patent: July 16, 1996
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takashi Tsuchiya, Masami Watase, Katsuya Okumura, Toru Watanabe
  • Patent number: 5533634
    Abstract: This invention describes the use and method of fabrication of a chromeless quantum phase shift mask and of a chromeless quantum phase shift build-on blank. The build-on blank can be readily inspected, stored for future use, and completed with a feature pattern when needed. The quantum phase shift mask provides improved image resolution and depth of focus tolerance. The quantum phase shift mask requires little or no CAD, or computer aided design, modification over that used for conventional masks.
    Type: Grant
    Filed: September 1, 1994
    Date of Patent: July 9, 1996
    Assignee: United Microelectronics Corporation
    Inventors: Hong-Tsz Pan, Ming-Tzong Yang, Shyi-Long Shy
  • Patent number: 5534371
    Abstract: A repaired laser ablation mask is disclosed capable of withstanding laser fluences in the range from about 200 mJ/cm.sup.2 to at least 500 mJ/cm.sup.2. The repaired mask comprises a single or multiple layers of apertured metal, such as, aluminum, on a quartz substrate. The laser mask repair technique and structure are also disclosed. The thickness of the metal layer, such as, aluminum layer, is in the range from about 2 microns to about 6 microns. A laser projection etching technique is also disclosed for using the repaired ablation mask.
    Type: Grant
    Filed: May 22, 1995
    Date of Patent: July 9, 1996
    Assignee: International Business Machines Corporation
    Inventors: Rajesh S. Patel, Laertis Economikos
  • Patent number: 5526950
    Abstract: An etching process is disclosed which is suited for manufacturing color selecting mechanisms in wide scope of specifications without need of complicated process of manufacture.The etching process comprises the steps of (a) forming an etching resist layer (20) on the front surface (10A) of a work (10) and also forming a protective layer (12) on the back surface (10B), (b) patterning the etching resist layer (12) to form a first opening (22) and a second opening (24) smaller than and near the first opening, and (c) etching the work to form a slit zone (32) under the first opening (22) and a recess (34) under the second opening (24) while removing at least a portion (10C) of the work spacing apart the slit zone (32) and the recess (34), thereby forming an electron beam passage slit (30) having a greater opening area defined on the side of the front surface (10A) by the slit zone (32) and the recess (34). (See FIG.
    Type: Grant
    Filed: September 2, 1994
    Date of Patent: June 18, 1996
    Assignee: Sony Corporation
    Inventors: Koichi Tago, Shinzo Takei, Sumito Shina
  • Patent number: 5520297
    Abstract: A semiconductor substrate has a plurality of chip portions and chip separating portions for partitioning the plurality of chip portions into each other. The plurality of chip portions and the separating portions are etched on one side of the semiconductor substrate so that each of the plurality of chip portions is provided with stencil patterns. Furthermore, the plurality of chip portions and chip separating portions are etched on the other side of the semiconductor substrate so that the stencil patterns are exposed and the plurality of chip portions are capable of being substantially separated from each other.
    Type: Grant
    Filed: June 14, 1994
    Date of Patent: May 28, 1996
    Assignee: Hitachi, Ltd.
    Inventors: Teruyuki Kagami, Sakae Yaita, Niro Katane, Mitsuo Tanabe, Yoshinori Nakayama, Hidetoshi Satoh
  • Patent number: 5510156
    Abstract: A method for forming sub-micron sized bumps on the bottom surface of a suspended microstructure or the top surface of the underlying layer in order to reduce contact area and sticking between the two layers without the need for sub-micron standard photolithography capabilities and the thus-formed microstructure. The process involves the deposition of latex spheres on the sacrificial layer which will later temporarily support the microstructure, shrinking the spheres, depositing aluminum over the spheres, dissolving the spheres to leave openings in the metal layer, etching the sacrificial layer through the openings, removing the remaining metal and depositing the microstructure material over the now textured top surface of the sacrificial layer.
    Type: Grant
    Filed: August 23, 1994
    Date of Patent: April 23, 1996
    Assignee: Analog Devices, Inc.
    Inventor: Yang Zhao
  • Patent number: 5495959
    Abstract: An improved method for fabricating phase shifting masks suitable for semiconductor manufacture is provided. A photolithographic mask blank comprising a transparent substrate having an opaque layer of a standard thickness is provided. Using a photoresist mask, the opaque layer is patterned and etched with openings to form opaque light blockers. The substrate under the openings is then etched to a predetermined depth using the same photoresist mask or the opaque layer as a hard mask. A phase shift material, such as silicon dioxide, is then deposited over the opaque light blockers and into the openings to form rim phase shifters on the sidewalls of the light blockers and light transmission areas in the openings. The depth of the etch into the substrate and the thickness of the opaque layer determines the amount of the phase shift. These parameters are controlled to achieve a phase shift of 180.degree. or odd multiple thereof.
    Type: Grant
    Filed: June 13, 1995
    Date of Patent: March 5, 1996
    Assignee: Micron Technology, Inc.
    Inventor: J. Brett Rolfson
  • Patent number: 5490896
    Abstract: A photomask or a light-shielding member has a support capable of transmitting light, a light-shielding pattern which shields the light and a light absorbing member provided corresponding to the light-shielding pattern. In one aspect, the light-shielding pattern and the light absorbing member are provided on the same side of the support. In another aspect, the light-shielding pattern and the light absorbing member are provided with the support sandwiched therebetween.
    Type: Grant
    Filed: February 28, 1995
    Date of Patent: February 13, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takayuki Yagi, Toshiyuki Komatsu, Yasue Sato, Shinichi Kawate
  • Patent number: 5484074
    Abstract: A method for manufacturing a shadow mask for use in a cathode ray tube includes providing a thin metal web having a first and second major surfaces. Photosensitive layers are formed on the first and second major surfaces. The first photosensitive layer is exposed to a first patterned light and the second photosensitive layer is exposed to a second patterned light. The exposure is continued until respective accumulated exposure of the photosensitive layers reaches a predetermined value. Next, a first protective film is applied to the second photosensitive layer to prevent etching of the second surface. The first surface is then etched to form a first cavity. The first cavity has a depth that is less than a distance from the first surface to the second surface. A second protective film is applied to the first surface to prevent additional etching of the first surface. Then the first protective film is removed and the second surface is etched to form a second cavity.
    Type: Grant
    Filed: May 3, 1994
    Date of Patent: January 16, 1996
    Assignee: BMC Industries, Inc.
    Inventors: Dean T. Deibler, Thomas Ratz, Peter L. Takach, Roland Thoms
  • Patent number: 5482802
    Abstract: The present invention provides a process for locally removing at least a portion of a material layer structure in which first and second materials are provided, the second material having a higher etch rate by an activated reaction gas than the first material. The second material is disposed over at least a portion of the first material. A reaction gas flows adjacent a portion of the second material to be removed. The reaction gas is chemically reactive with at least the second material to form volatile reaction products when activated by a focused particle beam, but does not spontaneously react with the second material.The portion of the second material to be removed is irradiated with a focused particle beam. Exemplary particle beams are focused ion beams and electron beams. The focused particle beam initiates a chemical reaction between the portion of the second material and the reaction gas, forming volatile reaction products which desorb from the substrate and are removed.
    Type: Grant
    Filed: November 24, 1993
    Date of Patent: January 9, 1996
    Assignee: AT&T Corp.
    Inventors: George K. Celler, Lloyd R. Harriott, Ratnaji R. Kola
  • Patent number: 5480047
    Abstract: A method for forming a fine resist pattern by exposing comprising the steps of: (i) forming a resist layer on a semiconductor substrate; (ii) forming a phase shifting pattern in an upper portion of the resist layer, the phase-sifting pattern having a tapered edge corresponding to a portion to which formation of an objective fine resist pattern is not desired; (iii) exposing the entire surface of the semiconductor substrate including the phase-shifting pattern; and (iv) forming a fine resist pattern below an outline except for the tapered edge of the phase-shifting pattern.
    Type: Grant
    Filed: May 12, 1994
    Date of Patent: January 2, 1996
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Makoto Tanigawa, Hiroki Tabuchi, Takayuki Taniguchi
  • Patent number: 5474798
    Abstract: The present invention relates to a process for the manufacture of printed circuit boards. The method contemplates the use of electroless nickel as the primary medium for interconnection, for building circuitry to the desired thickness and as an etch resist. The method is particularly versatile in reducing the number of steps and variety of chemicals currently necessary to produce these circuit boards.
    Type: Grant
    Filed: August 26, 1994
    Date of Patent: December 12, 1995
    Assignee: MacDermid, Incorporated
    Inventors: Gary B. Larson, Donna Kologe, Cynthia Retallick, Jon Bengston
  • Patent number: 5465859
    Abstract: A subtractive method for making a Levenson type lithographic phase shift mask using a sacrificial etch monitor film in which some of the monitor film is left standing on the opaque portions of the mask. The monitor film otherwise is consumed when it is simultaneously etched with selected portions of the mask substrate to produce recesses of desired depth in the substrate. The etching is stopped upon detecting that the etched monitor film is completely consumed. The technique also is adapted for the fabrication of a RIM type lithographic phase shift mask combined with the Levenson type phase shift mask in the same mask. The technique further is adapted to include 90 degree shift transitions at the end of the Levenson line-space pairs of the mask. The monitor film left standing on the opaque portions of the mask provides self-aligned phase error correction to offset sidewall scattering in the Levenson type mask.
    Type: Grant
    Filed: April 28, 1994
    Date of Patent: November 14, 1995
    Assignee: International Business Machines Corporation
    Inventors: Jonathan D. Chapple-Sokol, Louis L.-C. Hsu, Paul J.-M. Tsang, Chi-Min Yuan
  • Patent number: 5441836
    Abstract: A laser ablation mask repair method. Defects (holes) are located in a dielectric mask. The surface of the mask above the defect is melted with a CO.sub.2 laser to form a depression in the surface. The depression forms a lens which diffuses ablation laser energy instead of transmitting it. Thus, the ablation laser is prevented from ablating a polymer ablation layer, because the holes are blocked and, the mask is repaired. The method may also be used to make Engineering Changes (EC) laser ablation masks.
    Type: Grant
    Filed: March 30, 1994
    Date of Patent: August 15, 1995
    Assignee: International Business Machines Corporation
    Inventors: James G. Balz, John R. Lankard, Sr., Mark J. LaPlante