Forming Or Treating Mask Used For Its Nonetching Function (e.g., Shadow Mask, X-ray Mask, Etc.) Patents (Class 216/12)
  • Patent number: 8828871
    Abstract: A pattern formation method, mask pattern formation method and a method for manufacturing semiconductor devices are provided in this disclosure, which are directed to the field of semiconductor processes. The pattern formation method comprises: providing a substrate; forming a polymer thin film containing a block copolymer on the substrate; forming a first pattern through imprinting the polymer thin film with a stamp; forming domains composed of different copolymer components through directed self assembly of the copolymer in the first pattern; selectively removing the domains composed of copolymer components to form a second pattern. In the embodiments of the present invention, finer pitch patterns can be obtained through combining the imprinting and DSA process without exposure, which as compared to the prior art methods has the advantage of simplicity. Furthermore, stamps used in imprinting may have relative larger pitches, facilitating and simplifying the manufacture and alignment of the stamps.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: September 9, 2014
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Junqing Zhou, Xiaoying Meng, Haiyang Zhang
  • Patent number: 8822103
    Abstract: A mask blank for manufacturing a transfer mask adapted to be applied with ArF excimer laser exposure light that has a transparent substrate and a light-shielding film formed into a transfer pattern. The light-shielding film has at least two-layers, one a lower layer composed mainly of a first material containing a transition metal, silicon, and nitrogen, and the other an upper layer composed mainly of a second material containing a transition metal, silicon, and nitrogen. A ratio of a first etching rate of the lower layer to a second etching rate of the upper layer is 1.0 or more and 5.0 or less in etching carried out by supplying a fluorine-containing substance onto a target portion and irradiating charged particles to the target portion. Another ratio satisfies the following formula CN??0.00526CMo2?0.640CMo=26.624.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Atsushi Kominato, Osamu Nozawa, Hiroyuki Iwashita, Masahiro Hashimoto
  • Publication number: 20140234755
    Abstract: A mask blank substrate is provided with a substrate mark comprising an oblique section. The inclination angle of the substrate mark with respect to a main surface is greater than 45° and less than 90° and the distance from the boundary between the main surface and the substrate mark to the outer periphery of the mask blank substrate is less than 1.5 mm.
    Type: Application
    Filed: May 15, 2012
    Publication date: August 21, 2014
    Applicant: HOYA CORPORATION
    Inventors: Tatsuya Sasaki, Takahito Nishimura
  • Patent number: 8802334
    Abstract: Provided is a mask blank surface treatment method for surface-treating, using a treatment liquid, a surface of a thin film, to be formed into a transfer pattern, of a mask blank having the thin film on a substrate. The thin film is made of a material that can be etched by ion-based dry etching. The concentration of an etching inhibitor contained in the treatment liquid is 0.3 ppb or less.
    Type: Grant
    Filed: April 5, 2012
    Date of Patent: August 12, 2014
    Assignee: Hoya Corporation
    Inventors: Takeyuki Yamada, Toshiyuki Suzuki, Masahiro Hashimoto, Yasunori Yokoya
  • Publication number: 20140220715
    Abstract: A thin-film deposition mask includes a mask body, the mask body having a first surface and a second surface that is an opposite surface of the first surface, the mask body having a plurality of deposition holes therein, and a spacer near the deposition holes, the spacer protruding from the first surface of the mask body in a vertical direction.
    Type: Application
    Filed: July 24, 2013
    Publication date: August 7, 2014
    Inventor: Tae-Wook KANG
  • Patent number: 8795790
    Abstract: [Problem] An object is to provide a magnetic recording medium with improved HDI characteristics, such as impact resistance, and its manufacturing method. [Solution] A typical structure of a magnetic recording medium 100 according to the present invention includes, on a base, at least a magnetic recording layer 122, a protective layer 126, and a lubricating layer 128, wherein the magnetic recording layer 122 includes, in an in-plane direction, a magnetic recording part 136 configured of a magnetic material and a non-recording part 134 magnetically separating the magnetic recording part 136, and a surface corresponding to the non-recording part 134 protuberates more than a surface corresponding to the magnetic recording part 136.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: August 5, 2014
    Assignee: WD Media (Singapore) Pte. Ltd.
    Inventors: Yoshiaki Sonobe, Akira Shimada, Tsuyoshi Ozawa, Masanori Aniya
  • Patent number: 8784672
    Abstract: In a method of manufacturing a photomask pattern, a light-shielding layer pattern and an anti-reflective layer pattern are formed sequentially on a transparent substrate. Oxidation and nitridation processes are performed on a sidewall of the light-shielding layer pattern to form a protection layer pattern on a lateral portion of the light-shielding layer pattern.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: July 22, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-Keun Oh, Dae-Hyuk Kang, Chan-Uk Jeon, Hyung-Ho Ko, Sung-Jae Han, Jung-Jin Kim
  • Publication number: 20140199808
    Abstract: A deposition mask for forming a thin film pattern having a predetermined shape on a substrate by deposition, includes a resin film that transmits visible light and has an opening pattern penetrating through the resin film and having the same shape and dimension as those of the thin film pattern so as to correspond to a preliminarily determined forming region of the thin film pattern on the substrate.
    Type: Application
    Filed: March 14, 2014
    Publication date: July 17, 2014
    Applicant: V TECHNOLOGY CO., LTD.
    Inventors: Shigeto SUGIMOTO, Koichi KAJIYAMA, Michinobu MIZUMURA, Syuji KUDO, Eriko KIMURA, Hany Maher AZIZ, Yoshitaka KAJIYAMA
  • Patent number: 8771527
    Abstract: A method of manufacturing an extreme ultraviolet (EUV) mask includes forming a first multi-layered thin film over a quartz substrate, forming a structure pattern over the first multi-layered thin film, and forming a second multi-layered thin film over the structure pattern and the first multi-layered thin film. The second multi-layered thin film is formed so that a periodicity of the second multi-layered thin film formed over the structure pattern is different from a periodicity of the second multi-layered thin film formed over the first multi-layered thin film.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: July 8, 2014
    Assignee: SK Hynix Inc.
    Inventor: Jae In Moon
  • Patent number: 8764995
    Abstract: Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A planarizing process is provided to remove the absorber layer above the top surface of the hard mask layer and form an absorber in the opening, wherein the absorber is substantially co-planar with the top surface of the hard mask layer.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Hsu Chang, Hung-Chun Wang, Boren Luo, Wen-Chun Huang, Ru-Gun Liu
  • Publication number: 20140168541
    Abstract: An in-cell touch color filter substrate is disclosed. The color filter substrate includes a substrate including a plurality of display areas and a plurality of non-display areas, where each non-display area surrounds one of the display areas. The color filter substrate also includes a first metal layer, a first organic film layer, a second metal layer including a plurality of conductive pads provided in the non-display areas, and a second organic film layer, successively formed on the substrate. In addition, a plurality of through holes or through slots are formed on the first organic film layer in the non-display areas, where the conductive pads electrically connect with the first metal layer via the through holes or through slots, and where the conductive pads are exposed through the second organic film layer.
    Type: Application
    Filed: December 13, 2013
    Publication date: June 19, 2014
    Applicant: Shanghai Tianma Micro-Electronics Co., Ltd.
    Inventors: Lijun ZHAO, Jun MA
  • Publication number: 20140154825
    Abstract: A first conductive member is positioned on a base substrate. A second conductive member is positioned on the first conductive member, the second conductive member being electrically coupled to the first conductive member, and having a resistivity higher than that of the first conductive member. A mask substrate is positioned on the second conductive member. A portion of the mask substrate that contacts the second conductive member is removed .
    Type: Application
    Filed: August 7, 2013
    Publication date: June 5, 2014
    Inventors: Hyo Yeon Kim, Ha-Jin Song, Sang-Woo Lee, Hye-Yeon Shim, Heun-Seung Lee, Kyul Han, Sang-Woo Pyo
  • Publication number: 20140113020
    Abstract: A fine pattern is formed with high pattern precision, and a time required for fabricating a mold is considerably shortened. Provided are mask blanks used for manufacturing a sub-master mold by transferring the fine pattern provided on a surface of an original mold by imprint, having a hard mask layer including a chromium compound layer expressed by a chemical formula CrOxNyCz (x>0), on a substrate.
    Type: Application
    Filed: April 6, 2011
    Publication date: April 24, 2014
    Applicant: HOYA CORPORATION
    Inventors: Mitsuhiro Kureishi, Shuji Kishimoto, Takashi Sato
  • Patent number: 8685858
    Abstract: Hemispheres and spheres are formed and employed for a plurality of applications. Hemispheres are employed to form a substrate having an upper surface and a lower surface. The upper surface includes peaks of pillars which have a base attached to the lower surface. The peaks have a density defined at the upper surface by an array of hemispherical metal structures that act as a mask during an etch to remove substrate material down to the lower surface during formation of the pillars. The pillars are dense and uniform and include a microscale average diameter. The spheres are formed as independent metal spheres or nanoparticles for other applications.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: April 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Augustin J. Hong, Woo-Shik Jung, Jeehwan Kim, Jae-Woong Nah, Devendra K. Sadana
  • Patent number: 8632687
    Abstract: The invention relates to a method for electron beam induced etching of a layer contaminated with gallium, with the method steps of providing at least one first halogenated compound as an etching gas at the position at which an electron beam impacts on the layer, and providing at least one second halogenated compound as a precursor gas for removing of the gallium from this position.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: January 21, 2014
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Nicole Auth, Petra Spies, Rainer Becker, Thorsten Hofmann, Klaus Edinger
  • Publication number: 20140004313
    Abstract: A nanoimprint lithography method, including: pressing a mold in a photosensitive resin to form at least one imprint pattern defined by a stamped area and an adjacent area, the adjacent area being less stamped or not stamped at all, and being thicker than the stamped area; and exposure to a certain amount of sunlight. Respective thicknesses of the two areas are defined such that the two areas absorb a different amount of the sunlight and the amount of sunlight provided by the exposure is predetermined so as to be great enough to activate the resin in whichever of the two areas has the greater absorption, and so as not to be great enough to activate the other of the two areas.
    Type: Application
    Filed: December 21, 2011
    Publication date: January 2, 2014
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENE ALT
    Inventor: Sebastien Pauliac
  • Patent number: 8614036
    Abstract: In a laser reflective mask and a fabricating method thereof, reflective layers with different reflectances are sequentially and repeatedly laminated on top of a base substrate which has a reflective layer filling groove having a predetermined depth in a reflection region for a laser beam and then the remaining reflective layer laminated on the other region except for the portion filled in the reflective layer filling groove are removed through a chemical mechanical polishing (CMP) process, or a lift-off process using irradiation with the laser beam or an etchant, so that a reflective layer pattern configured to be filled in the reflective layer filling groove may be formed, thereby capable of not only facilitating a fabricating process of the laser reflective mask but also forming a more precise reflective layer pattern.
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: December 24, 2013
    Assignee: WI-A Corporation
    Inventors: Hyeong Ryeol Yoon, Nae Hwang Park, Su Chan Kim, Chan Koo Lee, Yong Mun Kim
  • Patent number: 8591749
    Abstract: A phase-shifting photomask with a self aligned undercut rim-shifting element and methods for its manufacture are provided. One embodiment of the invention provides a method of manufacturing a phase-shifting photomask having a self aligned rim-shifting element, the method comprising: applying a patterning film to a first portion of a transparent substrate; etching the substrate to a depth to remove a second portion of the substrate not beneath the patterning film; etching the first portion of the substrate to undercut an area beneath the patterning film; and removing the patterning film, wherein the etched substrate forms a self-aligned undercut rim-shifting element.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Jed H. Rankin
  • Publication number: 20130284691
    Abstract: A method for fabricating high-density masks for non-planar or three-dimensional substrates utilizes a mandrel having one or more precision forms machined therein. Once the mandrel with one or more forms is fabricated, one or more mask blanks may be constructed thereon. The final masks may be cut from one or more mask blanks.
    Type: Application
    Filed: April 25, 2012
    Publication date: October 31, 2013
    Inventors: Praveen Pandojirao-S, James Daniel Riall, Adam Toner
  • Patent number: 8551346
    Abstract: A photomask-forming glass substrate having a square major surface is provided wherein two strip regions are defined on the major surface near a pair of opposed sides such that each region spans between 2 mm and 10 mm inward of the side and excludes end portions extending 2 mm inward from the opposed ends of the side, a least squares plane is computed for each of the two strip regions, the angle included between normal lines to the least squares planes of two strip regions is within 10 seconds, and the height difference between two strip regions is up to 0.5 ?m.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: October 8, 2013
    Assignee: Shin-Etsu Chemcial Co., Ltd.
    Inventors: Daijitsu Harada, Mamoru Morikawa, Masaki Takeuchi, Yukio Shibano
  • Publication number: 20130248484
    Abstract: A method for fabricating a patterned dichroic film is provided, wherein the method comprises steps as follows: A patterned material layer comprising at least one inorganic layer is firstly provided on a substrate. A film deposition process is then performed to form a dichroic film on the patterned material layer and the substrate. The patterned material layer is subsequently removed, whereby a portion of the dichroic film disposed on the patterned material layer can be removed simultaneously.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 26, 2013
    Applicant: UNITED MICROELECTRONICS CORPORATION
    Inventor: Yi-Tyng WU
  • Patent number: 8535545
    Abstract: A method for fabricating a pellicle of an EUV mask is provided. An insulation layer is formed over a silicon substrate, and a mesh is formed over the insulation layer. A frame exposing a rear surface of the insulation layer is formed by selectively removing a center portion of a rear surface of the silicon substrate. A membrane layer is deposited over the mesh and an exposed top surface of the insulation layer which is adjacent to the mesh. A rear surface of the membrane layer is exposed by selectively removing the portion of the insulation layer which is exposed by the frame.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: September 17, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yong Dae Kim
  • Publication number: 20130220970
    Abstract: According to one embodiment, a method for fabricating a template, includes providing a mask pattern on a template substrate, processing the template substrate using the mask pattern as a mask so as to provide a first pattern on a first area in the template substrate and a second pattern on a second area which is located adjacent to the first area in the template, providing a first mask material on the template substrate so as to cover the first area, and processing the second area using the first mask material as a mask so as to lower a height of a surface of the second area than a height of a surface of the first area.
    Type: Application
    Filed: August 29, 2012
    Publication date: August 29, 2013
    Inventor: Takeshi KOSHIBA
  • Patent number: 8506830
    Abstract: A pattern is formed by: forming a first imprint mask layer on a processed member; forming a first imprint pattern of the first imprint mask layer using a first template; forming a second imprint mask layer made of a material having a different etching rate from the first imprint mask layer on the first imprint pattern; forming a second imprint pattern of the second imprint mask layer using a second template different from the first template; and etching the processed member using as a mask the second imprint mask layer on which the second imprint pattern is formed and the first imprint mask layer on which the first imprint pattern is formed.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: August 13, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kenji Furusho
  • Patent number: 8491799
    Abstract: A method for forming a magnetic tunnel junction cell includes forming a pinning layer, a pinned layer, a dielectric layer and a free layer over a first electrode, forming a second electrode on the free layer, etching the free layer and the dielectric layer using the second electrode as an etch barrier to form a first pattern, forming a prevention layer on a sidewall of the first pattern, and etching the pinned layer and the pinning layer using the second electrode and the prevention layer as an etch barrier to form a second pattern.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: July 23, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin-Ki Jung
  • Publication number: 20130148194
    Abstract: The present invention generally relates to nanoantenna arrays and methods of their fabrication. In particular, one aspect relates to nanoantenna arrays comprising nanostructures of predefined shapes in predefined patterns, which results in collective excitement of surface plasmons. In some embodiments the nanoantenna arrays can be used for spectroscopy and nanospectroscopy. Another aspects of the present invention relate to a method of high-throughput fabrication of nanoantenna arrays includes fabricating a reusable nanostencil for nanostensil lithography (NSL) which provides a mask to deposit materials onto virtually any support, such as flexible and thin-film stretchable supports. The nanostencil lithography methods enable high quality, high-throughput fabrication of nanostructures on conducting, non-conducting and magnetic supports. The nanostencil can be prepared by etching nanoapertures of predefined patterns into a waffer or ceramic membrane.
    Type: Application
    Filed: October 22, 2010
    Publication date: June 13, 2013
    Applicant: TRUSTEES OF BOSTON UNIVERSITY
    Inventors: Hatice Altug, Ahmet Ali Yanik, Shyamsunder Erramilli, Ronen Adato, Serap Aksu, Min Huang, Alp Artar
  • Patent number: 8460956
    Abstract: A method for fabricating a thin film transistor substrate includes: (a) forming a gate electrode on a substrate using a first photoresist layer; (b) forming an insulating film, an active semiconductor layer, a doped semiconductor layer, an ohmic contact metal film, a passivation film, and a second photoresist layer on the substrate to cover the gate electrode; (c) disposing a multi-tone mask over the second photoresist layer, followed by performing a lithography process to form the second photoresist layer into a patterned photoresist, which has different thicknesses at a location corresponding in position to the gate electrode and on two opposite sides of the location; and (d) performing etching using the patterned photoresist.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: June 11, 2013
    Inventor: Incha Hsieh
  • Publication number: 20130140269
    Abstract: A method and a mechanism for nano scale patterns with high aspect ratios etched on both photoresist layers and a carrier substrate and uses two complementary photoresist layers as an etch mask and the laser direct-write lithography technology to quickly fabricate large-size & nano scale patterns features (1) inorganic photoresist as material of a first layer of photoresist for nano scale patterns defined by laser beam direct-write lithography and (2) polymeric organic photoresist as material of a second layer of photoresist to thicken an etch mask because of effect of oxygen plasma, which has a higher etching rate on a polymeric organic photoresist layer but a lower one on an inorganic photoresist layer. For various materials of carrier substrates applied to the present invention, there are several types of Inductively Coupled Plasma-Reactive Ion Etching technologies available for nano scale patterns continuously transferred to a carrier substrate.
    Type: Application
    Filed: March 6, 2012
    Publication date: June 6, 2013
    Inventors: Donyau Chiang, Chun-Ming Chang, Yu-Hsin Lin, Chin-Tien Yang, Chung-Ta Cheng
  • Patent number: 8420547
    Abstract: A plasma processing method performed in a plasma processing apparatus including a processing chamber accommodating a substrate in which a plasma is generated; a mounting table mounting the substrate, which is provided in the processing chamber and to which a plasma attraction high frequency voltage is applied; and a facing electrode provided to face the mounting table in the processing chamber, to which a negative DC voltage is applied, the method including: applying a plasma attraction high frequency voltage to the mounting table for a predetermined period of time; and stopping the application of the plasma attraction high frequency voltage to the mounting table. In the plasma processing method, the application of the plasma attraction high frequency voltage and stopping thereof are alternately repeated.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshinobu Ooya
  • Patent number: 8419950
    Abstract: According to one embodiment, a pattern forming method is disclosed. The method includes contacting a template with light curable resin on a substrate. The template comprises a concave-convex pattern including concave portions and convex portions, and a metal layer provided on a convex portion of the concave-convex pattern. The concave-convex pattern is to be contacted with the light curable resin. The pattern forming method further includes irradiating the light curable resin with light of a predetermined wavelength under a condition ?1=?2?2. Where ?1 is a complex relative permittivity of the metal layer corresponding to the predetermined wavelength, ?2 is a complex relative permittivity of the light curable resin corresponding to the predetermined wavelength.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Kashiwagi, Kazuya Fukuhara
  • Patent number: 8414783
    Abstract: A method of forming double-sided patterns in a touch panel circuit is disclosed. A first conductive layer and a second conductive layer are respectively formed on both sides of a substrate. A blocking layer is formed on a top surface of the first conductive layer for blocking ultraviolet (UV) light. A first photoresist layer is formed on a top surface of the blocking layer, and a second photoresist layer is formed on a bottom surface of the second conductive layer. Accordingly, two sides of the substrate may be exposed, developed and etched at the same time, thereby substantially simplifying the process of manufacturing the touch panel circuit.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: April 9, 2013
    Assignee: TPK Touch Solutions Inc.
    Inventors: Chen-Yu Liu, Ching-Yi Wang, Cheng-Ping Liu, Lu-Hsin Li
  • Patent number: 8404125
    Abstract: In a metal processing method, a photoresist liquid is applied to both surfaces of a metal plate (210) to form photoresist films (220, 230), respectively (Step S102). Subsequently, the photoresist films (220, 230) are exposed and developed so that the photoresist films (220, 230) is removed while leaving the photoresist films (220, 230) corresponding to portions in which a hole is to be formed (Step S103). Next, metal thin films (240, 250) are formed on both the surfaces of the metal plate (210), respectively, on which the photoresist films (220, 230) are formed (Step S104). Subsequently, the photoresist films (220, 230) are removed, and metal thin films (245, 255) are also removed, which are formed on the photoresist films (220, 230), respectively (Step S105). Finally, the metal plate (210) is immersed in an etchant to be etched, to thereby form a high-precision hole in the metal plate (210) (Step S106).
    Type: Grant
    Filed: July 27, 2010
    Date of Patent: March 26, 2013
    Assignees: Hitachi Displays, Ltd., Canon Kabushiki Kaisha
    Inventors: Noriharu Matsudate, Takeshi Ookawara
  • Publication number: 20130071775
    Abstract: A method of manufacturing a mask may include forming initial ribs and removing edge portions of the initial ribs to form final ribs, each of which has a top width smaller than that of the initial rib. A space between the initial ribs may be smaller than a width of a slit limited by the final ribs.
    Type: Application
    Filed: May 3, 2012
    Publication date: March 21, 2013
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Valeriy Prushinskiy, Wonsik Hyun, HeungYeol Na, Minsoo Kim, YoungShin Pyo, JaeMin Hong
  • Publication number: 20130059438
    Abstract: A pattern formation method, mask pattern formation method and a method for manufacturing semiconductor devices are provided in this disclosure, which are directed to the field of semiconductor processes. The pattern formation method comprises: providing a substrate; forming a polymer thin film containing a block copolymer on the substrate; forming a first pattern through imprinting the polymer thin film with a stamp; forming domains composed of different copolymer components through directed self assembly of the copolymer in the first pattern; selectively removing the domains composed of copolymer components to form a second pattern. In the embodiments of the present invention, finer pitch patterns can be obtained through combining the imprinting and DSA process without exposure, which as compared to the prior art methods has the advantage of simplicity. Furthermore, stamps used in imprinting may have relative larger pitches, facilitating and simplifying the manufacture and alignment of the stamps.
    Type: Application
    Filed: November 10, 2011
    Publication date: March 7, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: JUNQING ZHOU, XIAOYING MENG, HAIYANG ZHANG
  • Publication number: 20130033627
    Abstract: Embodiments are disclosed of an apparatus comprising a color filter arrangement including a set of color filters. The set of color filters includes a pair of first color filters, each having first and second hard mask layers formed thereon, a second color filter having the first hard mask layer formed thereon, and a third color filter having no hard mask layer formed thereon. Other embodiments are disclosed and claimed.
    Type: Application
    Filed: August 3, 2011
    Publication date: February 7, 2013
    Applicant: OMNIVISION TECHNOLOGIES, INC.
    Inventors: Gang Chen, Duli Mao, Hsin-Chih Tai, Howard E. Rhodes
  • Publication number: 20130015444
    Abstract: There are provided an evaporation mask with which an evaporated film is allowed to be formed with a fine pattern, a method of manufacturing the same, and a method of manufacturing an electronic device using such an evaporation mask. Further, there is provided an electronic device having a film-formation pattern that is precisely formed with a fine pattern. The evaporation mask including: a substrate including one or a plurality of first opening sections; and a polymer film provided on a first main surface side of the substrate, the polymer film including one or a plurality of second opening sections communicated with the respective first opening sections.
    Type: Application
    Filed: July 5, 2012
    Publication date: January 17, 2013
    Applicant: Sony Corporation
    Inventor: Nobukazu HIRAI
  • Publication number: 20120292286
    Abstract: A micro-structure is manufactured by patterning a sacrificial film, forming an inorganic material film on the pattern, and etching away the sacrificial film pattern through an aperture to define a space having the contour of the pattern. The patterning stage includes the steps of (A) coating a substrate with a composition comprising a cresol novolac resin, a crosslinker, and a photoacid generator, (B) heating to form a sacrificial film, (C) patternwise exposure, (D) development to form a sacrificial film pattern, and (E) forming crosslinks within the cresol novolac resin.
    Type: Application
    Filed: May 18, 2012
    Publication date: November 22, 2012
    Inventors: Yoshinori HIRANO, Hideyoshi YANAGISAWA
  • Publication number: 20120292285
    Abstract: A method of patterning a substrate, comprises patterning a photoresist layer disposed on the substrate using imprint lithography and etching exposed portions of a hard mask layer disposed between the patterned photoresist layer and the substrate. The method may also comprise implanting ions into a magnetic layer in the substrate while the etched hard mask layer is disposed thereon.
    Type: Application
    Filed: May 19, 2011
    Publication date: November 22, 2012
    Inventors: Alexander C. Kontos, Frank Sinclair, Anthony Renau
  • Patent number: 8293122
    Abstract: A method for fabricating a semiconductor device with improved bonding ability is disclosed. The method comprises providing a substrate having a front surface and a back surface; forming one or more sensor elements on the front surface of the substrate; forming one or more metallization layers over the front surface of the substrate, wherein forming a first metallization layer comprises forming a first conductive layer over the front surface of the substrate; removing the first conductive layer from a first region of the substrate; forming a second conductive layer over the front surface of the substrate; and removing portions of the second conductive layer from the first region and a second region of the substrate, wherein the first metallization layer in the first region comprises the second conductive layer and the first metallization layer in the second region comprises the first conductive layer and the second conductive layer.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: October 23, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Chieh Chuang, Dun-Nian Yaung, Jen-Cheng Liu, Wen-De Wang, Jyh-Ming Hung, Pao-Tung Chen
  • Patent number: 8282846
    Abstract: A metal interconnect structure, which includes a bond pad, an overlying anti-reflective coating layer, an overlying passivation layer, and an opening that exposes a top surface of the bond pad, eliminates corrosion resulting from the anti-reflective layer being exposed to moisture during reliability testing by utilizing a side wall spacer in the opening that touches the side wall of the passivation layer, the side wall of the anti-reflective coating layer, and the top surface of the bond pad.
    Type: Grant
    Filed: February 27, 2010
    Date of Patent: October 9, 2012
    Assignee: National Semiconductor Corporation
    Inventor: Rodney L. Hill
  • Publication number: 20120248061
    Abstract: Provided is a method and system for increasing etch rate and etch selectivity of a masking layer on a substrate, wherein the system comprises a plurality of substrates containing the masking layer and a layer of silicon or silicon oxide, an etch processing chamber configured to process the plurality of substrates, the processing chamber containing a treatment liquid for etching the masking layer, and a boiling apparatus coupled to the processing chamber and configured to generate a supply of steam water vapor mixture at elevated pressure, wherein the steam water vapor mixture is introduced into the processing chamber at a controlled rate to maintain a selected target etch rate and a target etch selectivity ratio of the masking layer to silicon or silicon oxide.
    Type: Application
    Filed: March 30, 2011
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: IAN J. BROWN, WALLACE P. PRINTZ
  • Patent number: 8241512
    Abstract: A method of forming an ion implantation mask includes forming a field area on a semiconductor substrate, forming an amorphous carbon layer on the semiconductor substrate, forming a hard mask layer on the amorphous carbon layer, forming an etching mask pattern on the hard mask layer, and etching the hard mask layer and the amorphous carbon layer to expose the field area through the etching mask pattern, wherein etching the hard mask layer and the amorphous carbon layer forms a hard mask layer pattern and an amorphous carbon layer pattern.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: August 14, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Woo Lee, Young-Mi Lee, Min-Chul Chae, Dae-Joung Kim, Jae-Seung Hwang
  • Publication number: 20120160801
    Abstract: There are provided a composition for forming a superfine pattern and a method employing the same for forming a superfine pattern. The composition enables to simply produce a superfine pattern with high mass productivity. The composition comprises perhydropolysilazane (I), silicon-containing polymer (II) having a hydrocarbon group, and a solvent. The mixture of those polymers contains silicon-hydrogen bonds and silicon-hydrocarbon group bonds in such amounts that the number of the silicon-hydrocarbon group bonds is in a ratio of 1 to 44% based on the total number of the silicon-hydrogen bonds and the silicon-hydrocarbon group bonds. The composition is applied on a resist pattern to form a spacer formed of the composition on the side wall of the ridges in the pattern, and then the spacer or a resin layer disposed around the spacer is used as a mask to form a superfine pattern.
    Type: Application
    Filed: December 27, 2010
    Publication date: June 28, 2012
    Inventors: Munirathna Padmanaban, Jin Li, Toru Koike, Yusuke Takano, Kazunori Kurosawa
  • Publication number: 20120105856
    Abstract: There is disclosed a method for fabricating a plasmonic structure for use in a surface plasmon resonance sensor, comprising: coating a surface of an optically clear substrate with a monolayer of microspheres forming a sphere mask; etching the sphere mask to produce an array of microholes; depositing an adsorption layer on the etched sphere mask and the surface of the optically clear substrate; depositing a metallic film on the adsorption layer; and removing the sphere mask. This is also disclosed a plasmonic structure for use in a surface plasmon resonance sensor, comprising: an adsorption layer; and a metallic film deposited on the adsorption layer; wherein the adsorption layer and the metallic film comprises an array of microholes.
    Type: Application
    Filed: May 12, 2010
    Publication date: May 3, 2012
    Inventors: Jean-François Masson, Ludovic S. Live, Marie-Pier Murray-Methot
  • Patent number: 8128830
    Abstract: Embodiments herein provide relatively permanent labeling of an imprint lithography template. The imprint lithography template generally has first and second sides, one side having a pattern to imprint a substrate and the other being optically smooth to unobstructably pass UV light. In one embodiment, a method of labeling the imprint lithography template includes placing a masking layer on a portion of the first side (e.g., the optically smooth side) of the template, forming a liftoff layer on the remainder of the first side of the template, removing the masking layer to expose the portion of the first side of the template, and placing a polymer mark on the exposed portion of the first side of the template. The method also includes depositing an opaque material on the first side of the template and removing the liftoff layer and the mark to form a label on the first side of the template with the deposited opaque material.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: March 6, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands, B.V.
    Inventors: Jeffrey S. Lille, Scott A. MacDonald
  • Publication number: 20120021180
    Abstract: Disclosed is a curable composition for nanoimprinting, which includes one or more polymerizable monomers, in which one or more monofunctional radically polymerizable monomers occupy 90 percent by weight or more of the one or more polymerizable monomers, and the one or more monofunctional radically polymerizable monomers give a polymer having a glass transition temperature of 25° C. or higher. The one or more monofunctional radically polymerizable monomers are preferably at least one compound selected from (meth)acrylic ester compounds, styrenic compounds, and vinyl ether compounds.
    Type: Application
    Filed: March 16, 2010
    Publication date: January 26, 2012
    Inventors: Hiroto Miyake, Takao Yukawa, Shuso Iyoshi
  • Patent number: 8092695
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: January 10, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Publication number: 20110311029
    Abstract: A radiation window membrane and for covering an opening in an X-ray device is presented, as well a method for its manufacturing. Said openings are such through which X-rays are to pass. The membrane comprises a window base layer and a pinhole-blocking layer on a surface of said window base layer. Said pinhole-blocking layer comprises graphene.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 22, 2011
    Inventor: Hans Andersson
  • Publication number: 20110235212
    Abstract: According to one embodiment, a method of manufacturing a magnetic recording medium includes forming on a magnetic recording layer a first hard mask, a second hard mask, a third hard mask and a resist, imprinting the resist with a stamper, removing a residue left in the recesses of the patterned resist, etching the third hard mask by use of the patterned resist as a mask, etching the second hard mask by use of the third hard mask as a mask, etching the first hard mask by use of the second hard mask as a mask, forming a pattern of the magnetic recording layer with ion beam irradiation, and removing the first hard mask by use of a remover liquid with higher reactivity to the metal material of the first hard mask than to a constituent element of the magnetic recording layer.
    Type: Application
    Filed: January 14, 2011
    Publication date: September 29, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Masatoshi Sakurai, Kaori Kimura, Yousuke Isowaki, Akira Watanabe, Yoshiyuki Kamata
  • Publication number: 20110226725
    Abstract: A pattern forming method including: (a) forming a porous layer above an etching target layer; (b) forming an organic material with a transferred pattern on the porous layer; (c) forming, by use of the transferred pattern, a processed pattern in a transfer oxide film that is more resistant to etching than the porous layer; and (d) transferring the processed pattern to the etching target layer by use of the transfer oxide film as a mask.
    Type: Application
    Filed: March 16, 2011
    Publication date: September 22, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi OHASHI