Forming Or Treating Mask Used For Its Nonetching Function (e.g., Shadow Mask, X-ray Mask, Etc.) Patents (Class 216/12)
  • Publication number: 20110220609
    Abstract: There are provided a plasma etching method and a plasma etching apparatus capable of independently controlling distributions of line widths and heights of lines in a surface of a wafer. The plasma etching method for performing a plasma etching on a substrate W by irradiating plasma containing charged particles and neutral particles to the substrate W includes controlling a distribution of reaction amounts between the substrate W and the neutral particles in a surface of the substrate W by adjusting a temperature distribution in the surface of the substrate W supported by a support 105, and controlling a distribution of irradiation amounts of the charged particles in the surface of the substrate W by adjusting a gap between the substrate W supported by the support 105 and an electrode 120 provided so as to face the support 105.
    Type: Application
    Filed: March 10, 2011
    Publication date: September 15, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hidetami Yaegashi, Masanobu Honda, Akitaka Shimizu
  • Publication number: 20110210094
    Abstract: The application relates to methods for producing islands of functionality within nanoscale apertures. Islands of functionality can be produced by growing an aperture constriction layer from the walls, functionalizing the exposed base of the aperture, then removing the aperture constriction layer. The aperture constriction layer can be produced, for example, by anodically growing an oxide layer onto a cladding through which the aperture extends. The islands of functionality can be used to bind a single molecule of interest, such as an enzyme within the nanoscale aperture.
    Type: Application
    Filed: December 7, 2010
    Publication date: September 1, 2011
    Applicant: Pacific Biosciences of California, Inc.
    Inventors: Jeremy Gray, Ronald L. Cicero, Annette Grot, Natasha Popovich, Stephen Dudek
  • Patent number: 7998355
    Abstract: A method of generating a mask for printing a pattern including a plurality of features. The method includes the steps of depositing a layer of transmissive material having a predefined percentage transmission on a substrate; depositing a layer of opaque material on the transmissive material; etching a portion of the substrate, the substrate being etched to a depth based on an etching selectivity between the transmissive layer and the substrate; exposing a portion of the transmissive layer by etching the opaque material; etching the exposed portion of the transmissive layer so as to expose an upper surface of the substrate; where the exposed portions of the substrate and the etched portions of the substrate exhibit a predefined phase shift relative to one another with respect to an illumination signal.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: August 16, 2011
    Assignee: ASML Masktools B.V.
    Inventors: Douglas Van Den Broeke, Kurt E. Wampler, Jang Fung Chen
  • Publication number: 20110168665
    Abstract: Mirror-image patterns for use one patterned media. Methods are implemented to create a mirror-image on the top and bottom of a media disk. These mirror images simplify the creation of electronics for patterned media. Further, the methods allow for a single e-beam master disk to be used to create the stamper for the top and the bottom of the media disk.
    Type: Application
    Filed: March 11, 2011
    Publication date: July 14, 2011
    Inventors: Thomas Robert Albrecht, Zvonimir Z. Bandic
  • Publication number: 20110159134
    Abstract: In order to prevent occurrence of a residual film distribution dependent upon a pattern density of a mold, in producing the mold to be used for imprint lithography, by etching using a mask, use is made of a first mask M1 for forming a desired pattern to be formed on a surface of the mold, and a second mask M2 for partially covering the first mask such that the area covering openings of the first mask is made larger as an opening ratio of the pattern formed on the first mold surface is higher, thereby to make a volume of a recess of the mold in a given area; in which, after the mold is etched by the first mask, etching is further conducted by covering partially the first mask with the second mask without removing the first mask; or alternatively, the etching is conducted with the first mask and the second mask overlapped from the beginning, thereby to use the second mask as a mask for delaying the etching, for conduct the etching.
    Type: Application
    Filed: July 28, 2008
    Publication date: June 30, 2011
    Inventor: Hiroshi Hiroshima
  • Publication number: 20110140303
    Abstract: A method of fabricating an imprint mold is disclosed. The method includes: forming a first photo resist pattern on a substrate; etching the substrate using the first photo resist pattern as an etch mask to form a first pattern in the substrate; ashing the first photo resist pattern to form a second photo resist pattern; and etching the substrate using the second photo resist pattern to form a second pattern derived from the substrate and a third pattern derived from the first pattern.
    Type: Application
    Filed: December 7, 2010
    Publication date: June 16, 2011
    Inventors: Doo Hee Jang, Dhang Kwon, Hang Sup Cho, Ho Su Kim
  • Publication number: 20110135881
    Abstract: In a method for transferring nanostructures into a substrate, the following order of steps is used: decorating a substrate with nanomaterials (13), etching the substrate (10), applying a coating (15), removing the nanomaterials (13), and etching the substrate (10).
    Type: Application
    Filed: February 14, 2011
    Publication date: June 9, 2011
    Inventor: Claus Burkhardt
  • Publication number: 20110086488
    Abstract: A reactive ion etching (RIE) process comprising a chlorine source gas and an oxygen source gas with an atomic ratio of chlorine to oxygen in the plasma of at least 6 to 1 is used to etch chromium alloy films such as SiCr, SiCrC, SiCrO, SiCrCO, SiCrCN, SiCrON, SiCrCON, CrO, CrN, CrON, and NiCr for example. Additionally, a fluorine source may be added to the etch chemistry.
    Type: Application
    Filed: October 12, 2009
    Publication date: April 14, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Abbas ALI
  • Patent number: 7922923
    Abstract: Grids and collimators, for use with electromagnetic energy emitting devices, include at least a metal layer that is formed, for example, by electroplating/electroforming or casting. The metal layer includes top and bottom surfaces, and a plurality of solid integrated walls. Each of the solid integrated walls extends from the top to bottom surface and has a plurality of side surfaces. The side surfaces of the solid integrated walls are arranged to define a plurality of openings extending entirely through the layer. At least some of the walls also can include projections extending into the respective openings formed by the walls.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: April 12, 2011
    Assignee: Creatv Microtech, Inc.
    Inventors: Cha-Mei Tang, Olga V. Makarova, Platte T. Amstutz, III, Guohua Yang
  • Patent number: 7883822
    Abstract: In one aspect there is provided a gray scale lithographic mask that comprises a transparent substrate and a metallic layer located over the substrate, wherein the metallic layer has tapered edges with a graded transparency. The lithographic mask, along with etching processes may be used to transfer a pattern 450a into a layer of a semiconductor device.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: February 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Byron N. Burgess, Stuart M. Jacobsen
  • Patent number: 7879730
    Abstract: Etch selectivity enhancement during electron beam activated chemical etch (EBACE) is disclosed. A target or portion thereof may be exposed to a gas composition of a type that etches the target when the gas composition and/or target are exposed to an electron beam. By directing an electron beam toward the target in the vicinity of the gas composition, an interaction between the electron beam and the gas composition etches a portion of the target exposed to both the gas composition and the electron beam. Selectivity of etching of the target due to interaction between the electron beam and gas composition may be enhanced in a number of ways.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: February 1, 2011
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Mehran Naser-Ghodsi, Garrett Pickard, Rudy F. Garcia, Tzu-Chin Chuang, Ming Lun Yu, Kenneth Krzeczowski, Matthew Lent, Sergey Lopatin, Chris Huang, Niles K. MacDonald
  • Patent number: 7867403
    Abstract: The present invention provides a method for processing a photolithographic substrate, comprising the placement of the photolithographic substrate on a support member in a chamber wherein the photolithographic substrate has an initial temperature of about zero degrees Celsius to about fifty degrees Celsius. A heat transfer fluid is introduced into the chamber to cool the photolithographic substrate to a target temperature of less than about zero degrees Celsius to less than about minus forty degrees Celsius. The cooled photolithographic substrate is subjected to a plasma process before the temperature of the cooled photolithographic substrate reaches the initial temperature.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: January 11, 2011
    Inventors: Jason Plumhoff, Larry Ryan, John Nolan, David Johnson, Russell Westerman
  • Publication number: 20110000874
    Abstract: Disclosed is a method for stripping a photoresist comprising: (I) providing a photoresist pattern on a substrate where the substrate has at least a copper (Cu) wiring and a low-dielectric layer thereon, and selectively etching the low-dielectric layer by using the photoresist pattern as a mask; (II) contacting the substrate after the step (I), with ozone water and/or aqueous hydrogen peroxide; and (III) contacting the substrate after the step (II), with a photoresist stripping solution that contains at least a quaternary ammonium hydroxide.
    Type: Application
    Filed: September 16, 2010
    Publication date: January 6, 2011
    Inventors: Shigeru Yokoi, Kazumasa Wakiya, Takayuki Haraguchi
  • Patent number: 7862733
    Abstract: The present invention provides a probe manufacturing method in which, after a metal material for a probe is deposited on a base table, the probe can be detached from the base table relatively easily. A sacrificial layer is formed on a base table. The sacrificial layer is partially removed so as to form a recess in the sacrificial layer. A mask that exposes an area formed in a desired probe flat surface shape containing the recess is formed on the sacrificial layer. A probe material exhibiting different etching resistance characteristics from those of the sacrificial layer is deposited in the area exposed from the mask. By the deposition of the material, a coupling portion corresponding to the recess and a probe that is integral with the coupling portion are formed. After the mask is removed, the sacrificial layer is removed with use of etchant. Thereafter, the probe held on the base table at the coupling portion is detached from the base table together with the coupling portion.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: January 4, 2011
    Assignee: Kabushiki Kaisha Nihon Micronics
    Inventors: Takayuki Hayashizaki, Hideki Hirakawa, Akira Soma, Kazuhito Hamada
  • Patent number: 7862859
    Abstract: A method of correcting for pattern run out in a desired pattern in directional deposition or etching comprising the steps of providing a test substrate; providing a stencil of known thickness on the test substrate; providing a stencil pattern extending through the stencil to the test substrate.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: January 4, 2011
    Assignee: RFMD (UK) Limited
    Inventor: Jason McMonagle
  • Patent number: 7833570
    Abstract: Dimensional stabilization of a precision etched mask used in the production of organic light emitting diode display panels. This may entail securing a sheet of mask material, and then heating the sheet of mask material to a temperature within a predetermined range for a predetermined amount of time to produce a treated sheet of mask material. The treated sheet of mask material may then be used to fabricate a dimensionally stable precision etched mask, such as by exposing and etching the treated sheet of mask material.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: November 16, 2010
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventors: Gary L. Harkleroad, Robert Vaccaro
  • Patent number: 7833909
    Abstract: Aimed at suppressing roughening in a circumferential portion of a layer to be etched in the process of removing a hard mask formed thereon, an etching apparatus of the present invention has a process chamber, an electrode, a stage, and a shadow ring, wherein the process chamber allows an etching gas to be introduced therein; the electrode is disposed in the process chamber, and is used for generating plasma by ionizing the etching gas; the stage is disposed in the process chamber, onto which a substrate is disposed; the shadow ring has an irregular pattern on the inner circumferential edge thereof, and is disposed in the process chamber and placed above the stage 30, so as to cover a circumferential portion and an inner region adjacent thereto of the substrate in a non-contact manner.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: November 16, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Masahiro Komuro
  • Publication number: 20100270705
    Abstract: An imprint method for imprinting an imprint pattern provided to a mold onto a pattern forming layer formed on a substrate is constituted by a first step of effecting alignment between the substrate and the mold with feedback control; a second step of bringing the mold and the pattern forming layer into contact with each other; a third step of curing the pattern forming layer; and a fourth step of increasing a gap between the substrate and the mold. The imprint method further includes a step of stopping the feedback control between the first step and the second step and/or between the second step and the third step.
    Type: Application
    Filed: February 5, 2008
    Publication date: October 28, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Shingo Okushima, Nobuhito Suehira, Junichi Seki, Kazuyuki Kasumi
  • Publication number: 20100258524
    Abstract: A method of depositing a non-continuous coating of a first material on a substrate, comprising: a) the formation of a mask on this substrate, by forming at least two mask layers, and etching of at least one cavity in these layers, this cavity having an outline such that a coating, deposited on the substrate, through the cavities of the mask, has at least one discontinuity over said outline of the cavity; b) the deposition of the first material on the substrate, through the cavities of the mask, the coating thus deposited having at least one discontinuity over the outline of said cavity; and c) the mask is removed.
    Type: Application
    Filed: April 3, 2008
    Publication date: October 14, 2010
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Bruno Remiat, Laurent Vandroux, Florent Souche
  • Publication number: 20100255411
    Abstract: Provided is a method of manufacturing an imprint mold formed with a highly accurate fine pattern by the use of a mask blank. In a mask blank having a thin film for forming a pattern on a transparent substrate, the thin film comprises an upper layer formed of a material containing Cr and nitrogen and a lower layer formed of a material containing a compound mainly composed of Ta and capable of being etched by dry etching using a chlorine-based gas. The upper layer and the lower layer of the thin film are etched by dry etching using a chlorine-based gas substantially free of oxygen and then the substrate is etched by dry etching using a fluorine-based gas, thereby obtaining an imprint mold.
    Type: Application
    Filed: September 26, 2008
    Publication date: October 7, 2010
    Applicant: Hoya Corporation
    Inventors: Takashi Sato, Mitsuhiro Kureishi
  • Patent number: 7803280
    Abstract: The invention provides a method in which waviness generated on a glass substrate surface during pre-polishing is removed, thereby finishing the glass substrate to have a surface excellent in flatness. The method for finishing a pre-polished glass substrate uses ion beam etching, gas cluster ion beam etching or plasma etching, the method including: a step of measuring flatness of the glass substrate surface using a shape measurement unit, and a step of measuring a concentration distribution of the dopant contained in the glass substrate. Processing conditions of the glass substrate surface are set up for each site of the glass substrate based on the results obtained from the step of measuring flatness and the step of measuring a concentration distribution of the dopant. Finishing includes keeping an angle formed by a normal line of the glass substrate and an incident beam onto the glass substrate at from 30° to 89°.
    Type: Grant
    Filed: June 1, 2009
    Date of Patent: September 28, 2010
    Assignee: Asahi Glass Company, Limited
    Inventors: Koji Otsuka, Kenji Okamura
  • Patent number: 7759023
    Abstract: A hybrid topography mask is designed for facilitating the fabrication of a semiconductor wafer. The hybrid mask includes a substrate having a light receiving surface. The light receiving surface defines a plane. Pluralities of pattern elements are etched into and out of the light receiving surface. Each of the plurality of pattern elements defines a pattern surface that is parallel to the light receiving surface. Pattern sides extend between the pattern elements and the light receiving surface. Each of the pattern sides extends perpendicularly between the light receiving surface and the pattern elements. The hybrid mask also includes a tapered sub-resolution assist element etched out of the light receiving surface to position the mask with respect to the semiconductor wafer. The tapered sub-resolution assist element is fabricated to avoid affecting any photoresist residue from the sub-resolution assist element's presence on the semiconductor wafer disposed adjacent the hybrid mask.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: July 20, 2010
    Assignee: Sandisk 3D LLC
    Inventor: Yung-Tin Chen
  • Patent number: 7745072
    Abstract: Provided are a method of correcting a critical dimension (CD) in a photomask and a photomask having a corrected CD using the method. The method may include providing a substrate that is transparent with respect to an incident light, forming shielding patterns on the substrate to form a photomask, detecting a CD error region of the shielding patterns, and forming a correction film to vary an intensity of the incident light in the CD error region to correct critical dimensions (CDs) of circuit patterns formed by the shielding patterns.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: June 29, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-sik Jung, Hee-bom Kim, Hoon Kim, Sung-min Huh
  • Patent number: 7713664
    Abstract: A method for fabricating a photomask includes the steps of forming a phase shift layer, a light-shielding layer, and a negative resist layer in that order on a transparent substrate, forming a first resist pattern including a pattern corresponding to a transfer pattern by performing first exposure and development on the negative resist layer, forming a light-shielding pattern by etching the light-shielding layer using the first resist pattern as a mask, removing the first resist pattern, and then forming a positive resist layer thereon, forming a second resist pattern including a pattern corresponding to a light-absorbing pattern by performing second exposure and development on the positive resist layer, and forming a phase shift pattern by etching the phase shift layer using the second resist pattern as a mask.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: May 11, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Naoyuki Ishiwata
  • Patent number: 7709159
    Abstract: A mask, which is used to form predetermined patterns on a substrate, includes a pattern forming member that is provided with openings corresponding to the predetermined patterns; and a pattern holding member that overlaps one surface of the pattern forming member.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: May 4, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Kazushige Umetsu, Shinichi Yotsuya
  • Patent number: 7704646
    Abstract: A half tone mask having a transparent substrate, a light semitransmission layer, and a light shield layer; and a method for fabricating the same. The halftone mask is applied to multiple cycles of a photolithography process, thus shortening a time taken to fabricate the mask and reducing the production costs of the mask. Since a desired pattern is uniformly formed through a light semitransmission layer of the half tone mask of the present invention according to the uniformity of a chrome oxide (CrxOy) film, i.e., the uniformity in sputtering, the halftone mask is not limited in size.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: April 27, 2010
    Assignee: LG Innotek Co., Ltd.
    Inventors: Sang-Uk Park, Kap-Seok Kang, Keun-Sik Lee, Jae-Woo Park, Yu-Kyung Sim
  • Patent number: 7674562
    Abstract: A method for forming a phase shift mask is presented. The method includes providing a substrate including a transparent material having first, second and third regions, the third region being disposed between the first and second regions. The method also includes forming a light reducing layer on a first major surface of the substrate. The light reducing layer is patterned to form a patterned light reducing layer having sidewalls defining openings to expose the first and second regions. The patterned light reducing layer is processed to transform the sidewalls of the patterned light reducing layer to angled sidewalls having an angle of less than 90° from a plane of the first major surface of the substrate. The angled sidewalls improve intensity balance of an image-formed by light-transmitted through the mask.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: March 9, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Gek Soon Chua, Sia Kim Tan, Qunying Lin, Cho Jui Tay, Chenggen Quan
  • Patent number: 7641806
    Abstract: By steps of forming first masks 13, 14 each having a first pattern on a first surface of a substrate 11 on which a membrane is to be formed, etching the first surface of the substrate 11 by using the first masks 13, 14 to forming first support beams 15, positioning a second surface of the substrate 11 on the basis of the first pattern on the first surface, forming a second mask 17 having a second pattern on the second surface of the substrate 11 based on the alignment and etching the second surface of the substrate 11 in dry by using the second mask 17 to form the second support beams 20, a membrane member 22a where the first and second support beams 15, 20 are formed on both surfaces of the membrane 12 is manufactured. Consequently, it is possible to provide the membrane member that is sufficient in strength and is hard to be deformed by heat.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: January 5, 2010
    Assignees: Tokyo Electron Limited, OCTEC Inc.
    Inventors: Katsuya Okumura, Kazuya Nagaseki, Naoyuki Satoh, Koji Maruyama
  • Patent number: 7615161
    Abstract: A method of fabricating a collimator assembly includes attaching a first layer to a second layer and forming channels through the attached first layer and second layer. Openings are disposed in the first and second layers before attaching the first and second layers. The openings of the first and second layers are aligned before forming the channels. Forming channels includes removing material of the first layer, the second layer or both layers. The attachment of the first and second layers defines an overall thickness of the collimator assembly. A thickness of the first layer ranges from about 5% to about 10% of the overall thickness.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: November 10, 2009
    Assignee: General Electric Company
    Inventor: David Michael Hoffman
  • Publication number: 20090257006
    Abstract: A color filter is described, including a first color filter layer, a first light absorbing layer, a second color filter pattern and a second light absorbing pattern. The first color filter layer is disposed over a substrate. The first light absorbing layer is disposed between the substrate and the first color filter layer. The second color filter pattern covers a portion of the first color filter layer. The second light absorbing pattern is disposed between the second color filter pattern and the first color filter layer.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 15, 2009
    Applicant: UNITED MICRODISPLAY OPTRONICS CORP.
    Inventors: Pei-Wen Ko, Ta-Shuang Kuan, Chin-Hsia Chen
  • Patent number: 7585421
    Abstract: The method comprises the following steps: preparing a sheet having thickness of 5 ?m to a few tens of micrometers, suitable for being etched by a lithographic operation; making a mask on a face of the sheet, the mask presenting etching selectivity S of at least 5; depositing a layer of photosensitive resin on the mask; making through holes in the layer of resin by photolithography; etching through the mask via the pores in the layer of resin; and anisotropically etching through the sheet from the pores in the mask in order to make pores in the sheet having an aspect ratio greater than 5. The invention is applicable to fabricating micron and sub-micron filters.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: September 8, 2009
    Assignee: Centre National de la Recherche Scientifique (CNRS)
    Inventors: Thierry Lagarde, Jacques Pelletier, Ana Lacoste, Yves Alban-Marie Arnal
  • Patent number: 7585418
    Abstract: Cleaning is carried out by using a sulfuric acid type detergent at a resist stripping and cleaning step (step 5) in a semitranslucent portion forming process and a resist stripping and cleaning step (step 10) in a shielding band forming process, and a sulfuric acid removing step of partially or wholly removing a surface layer portion in a pattern into which a sulfate ion is adsorbed is then carried out to effectively remove the adsorbed sulfate ion.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: September 8, 2009
    Assignee: Hoya Corporation
    Inventors: Junji Mori, Katsuhiro Takushima
  • Patent number: 7575692
    Abstract: An object to be processed has a chromium-based thin film made of a material containing chromium. The thin film is etched using a resist pattern as a mask. The thin film is etched by the use of a chemical species produced by preparing a dry etching gas containing a halogen-containing gas and an oxygen-containing gas and supplying a plasma excitation power to the dry etching gas to thereby excite plasma. The thin film is etched using, as the plasma excitation power, a power lower than a plasma excitation power at which plasma density jump occurs.
    Type: Grant
    Filed: April 6, 2004
    Date of Patent: August 18, 2009
    Assignee: Hoya Corporation
    Inventor: Yasuki Kimura
  • Patent number: 7569152
    Abstract: A useful layer (1) is initially attached by a sacrificial layer (2) to a layer (3) forming a substrate. Before etching of the sacrificial layer (2), at least a part of the surface (4, 5) of at least one of the layers in contact with the sacrificial layer (2) is doped. After etching of the sacrificial layer (2), the surface (4, 5) is superficially etched so as to increase the roughness of its doped part. After doping, a mask (9) is deposited on a part of the useful layer (1) so as to delineate a doped zone and a non-doped zone of the surface (4, 5), one of the zones forming a stop after the superficial etching phase.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: August 4, 2009
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Hubert Grange, Bernard Diem, Sylvie Viollet Bosson, Michel Borel
  • Publication number: 20090152753
    Abstract: A mold capable of a highly accurate alignment with a member to be processed in such a state that a photocurable resin material is disposed between the mold and the member to be processed, and is constituted by a substrate 2010 formed of a first material and an alignment mark 2102 formed of a second material different from the first material. The first material and the second material have transmissivities to light in a part of an ultraviolet wavelength range. The second material has a refractive index of not less than 1.7.
    Type: Application
    Filed: February 18, 2009
    Publication date: June 18, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Atsunori Terasaki, Junichi Seki, Nobuhito Suehira, Hideki Ina, Shingo Okushima
  • Patent number: 7544304
    Abstract: A process for laser forming a blind via in at least one layer of a circuit substrate having a plurality of capture pads of varying geometry can include, for at least one blind via to be formed in at least one layer of a circuit substrate, evaluating a capture pad geometry value (such as area and/or volume) within a predetermined distance from a drilling location with respect to a blind via geometry value (such as area and/or volume) to be formed at the drilling location. The process can include setting at least one laser operating parameter based on the evaluation in order to obtain a desired capture pad appearance after blind via formation. The process can include imaging a capture pad area defined as an area within a predetermined distance from a blind via drilling location in at least one layer of a circuit substrate, quantifying at least one appearance value for the imaged capture pad area, and determining an acceptability of the imaged capture pad areas based on the quantified appearance value.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: June 9, 2009
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Hisashi Matsumoto, Mark Singer, Leo Baldwin, Jeffrey E. Howerton, David V. Childers
  • Patent number: 7531045
    Abstract: An apparatus for removing haze in a photo mask includes sealed chamber having a bake module disposed therein to support a photo mask, a reactant gas feed line to feed a reactant gas into the chamber, and a discharge device to discharge impurities in the chamber to the outside.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: May 12, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Mun Sik Kim
  • Patent number: 7531470
    Abstract: Electronic devices are formed on a substrate that is advanced stepwise through a plurality of deposition vessels. Each deposition vessel includes a source of deposition material and has at least two shadow masks associated therewith. Each of the two masks is alternately positioned within the corresponding deposition vessel for patterning the deposition material onto the substrate through apertures in the mask positioned therein, and positioned in an adjacent cleaning vessel for mask cleaning. The patterning onto the substrate and the cleaning of at least one of the masks are performed concurrently.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: May 12, 2009
    Assignee: Advantech Global, Ltd
    Inventor: Thomas P. Brody
  • Publication number: 20090098469
    Abstract: Design rules are described for a phase alternating shift mask for minimum chrome width and maximum segment length, where an embodiment employs during a cleaning process of the mask a megasonic power of 50 Watts at 1 MHz, and 30 Watts at 3 MHz. Some embodiments utilize an dry etch Carbon Tetrafluoride and Dioxygen based process. Other embodiments are described and claimed.
    Type: Application
    Filed: October 12, 2007
    Publication date: April 16, 2009
    Inventors: Kishore K. Chakravorty, Sven Henrichs, Yi-Ping Liu, Henry Yun, Brian Irving, Alexander Tritchkov, Karmen Yung
  • Publication number: 20090042736
    Abstract: The invention relates to a biochip (5) comprising a porous support (10), wherein the porous support (10) comprises at least one surface coated with a coating, preferably a polymer coating (20), wherein the coating is patterned with a micro compartments (25) pattern, with the support providing a bottom surface to the compartments and the coating providing edges to the compartments, and wherein the pattern comprises at least 400 compartments per mm2. The biochip (5) can be obtained by a process comprising providing a porous support (10); coating at least one surface of the support with a coating (20); arranging between the coated surface and an ion etching device a shadow mask with a predetermined hole pattern; and ion etching at least part of the coating such that a patterned coating with micro compartments (25) is obtained.
    Type: Application
    Filed: April 10, 2006
    Publication date: February 12, 2009
    Inventors: Johan Gerrit Bomer, Adrianus Joseph Sprenkels, Colin Ingham, Johannes Epeus Theodoor Van Hylckama Vlieg, Willem Meindert De Vos, Albert Van de Berg
  • Publication number: 20090032490
    Abstract: Methods for fabricating color filters are provided. Firstly, a substrate having a first region and a second region is provided. Then, a first dichroic layer and a first mask layer are formed on the first region sequentially. Next, a second dichroic layer is formed on the substrate to cover the first mask layer and the surface of the second region of the substrate. Thereafter, a second mask layer is formed on the second dichroic layer on the second region. Afterwards, the second dichroic layer on the first region and between the first mask layer and the second mask layer is etched. Then, the first mask layer and the second mask layer are removed.
    Type: Application
    Filed: August 2, 2007
    Publication date: February 5, 2009
    Applicants: UNITED MICROELECTRONICS CORP., UNITED MICRODISPLAY OPTRONICS CORP.
    Inventors: Huai-Hsuan Tsai, Fu-Kuo Ou
  • Patent number: 7479233
    Abstract: The present invention provides a mask blank used for the charged particle beam exposure made by employing an SOI substrate having a silicon membrane higher reliability in quality, without the problem of deformation due to the compression stress of a silicon oxide film as an intermediate layer of the SOI substrate, and provides a method for forming a mask blank and a mask used for the charged particle beam exposure. The mask blank used for the charged particle beam exposure made by employing an SOI substrate having a front-side silicon membrane and a back-side silicon layer with a silicon oxide layer interposed therebetween is characterized in that the back-side silicon layer and the silicon oxide film of said SOI substrate are partially removed to form an opening to be an exposed region and an etching stop layer having lower stress is formed in the opening.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: January 20, 2009
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventor: Kenichi Morimoto
  • Publication number: 20090014409
    Abstract: Apparatus and method for endpoint detection are provided for photomask etching. The apparatus provides a plasma etch chamber with a substrate support member. The substrate support member has at least two optical components disposed therein for use in endpoint detection. Enhanced process monitoring for photomask etching are achieved by the use of various optical measurement techniques for monitoring at different locations of the photomask.
    Type: Application
    Filed: October 29, 2007
    Publication date: January 15, 2009
    Inventor: Michael Grimbergen
  • Publication number: 20090008433
    Abstract: There is provided a conductive ball mounting apparatus. The conductive ball mounting apparatus includes: a conductive ball mounting mask disposed to oppose a substrate having a plurality of pads coated with an adhesive flux, the conductive ball mounting mask having a plurality of ball mounting through holes for mounting each of conductive balls on each of the plurality of pads, the plurality of ball mounting through holes being arranged to oppose to the plurality of pads; and a conductive ball supplying unit for moving or removing the conductive balls on the conductive ball mounting mask by sucking an air on an upper surface side of the conductive ball mounting mask. The conductive ball mounting mask includes through portions formed to block passing of the conductive balls.
    Type: Application
    Filed: July 2, 2008
    Publication date: January 8, 2009
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Hideaki Sakaguchi, Kiyoaki Iida, Mitsutoshi Higashi
  • Patent number: 7470373
    Abstract: An optical interference display panel is disclosed that has a substrate, an optical interference reflection structure, and an opaque protection structure. The optical interference reflection structure has many color-changeable pixels and is formed on the substrate. The opaque protection structure is adhered and fixed onto the substrate with an adhesive and encloses the optical interference reflection structure between the substrate and the opaque protection structure. The opaque protection structure blocks and/or absorbs light, and light is thus not emitted outward by passing through defects in the optical interference reflection structure. Moreover, the opaque protection structure and the adhesive also prevent the optical interference reflection structure from being damaged by an external environment.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: December 30, 2008
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventor: Hsiung-Kuang Tsai
  • Publication number: 20080314864
    Abstract: Methods of forming a microlens for an image sensor are provided. In one embodiment, the microlens can be oxide film microlens fabricated by forming an oxide film on a substrate; forming a first photoresist pattern on the oxide film; performing a plasma processing with respect to the oxide film using the first photoresist pattern as a mask; removing the first photoresist pattern; and performing an isotropic etching of the plasma processed oxide film. In another embodiment, the oxide film microlens can be fabricated by forming an oxide film on a substrate; forming a first photoresist pattern on the oxide film; implanting ions into the oxide film using the first photoresist pattern as a mask; removing the first photoresist pattern; and performing an isotropic etching of the ion implanted oxide film. Convex shaped microlens can be provided as a result of the etching selectivity to the oxide film.
    Type: Application
    Filed: June 19, 2008
    Publication date: December 25, 2008
    Inventor: Chong Hoon Shin
  • Patent number: 7459095
    Abstract: A substrate includes an opaque chrome coating on a surface of the substrate dry-etched to form an aperture, wherein chrome in the aperture is below detectable limit. A method of forming an opaque chrome coating having at least two layers on a substrate includes depositing an initial chrome layer having a thickness of less than 10 nm on the substrate without ion-assist or with undetectable ion-assist, and then depositing the remainder of the at least two layers, with or without ion-assist, to form an opaque chrome coating.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: December 2, 2008
    Assignee: Corning Incorporated
    Inventors: Robert Bellman, Ljerka Ukrainczyk
  • Patent number: 7455785
    Abstract: A flatness of a substrate is determined to achieve a desired flatness of a mask blank by predicting the variation in flatness resulting from a film stress of a thin film formed on the substrate. The flatness is adjusted by measuring the flatness of the substrate as a measured flatness, selecting a load type with reference to the measured flatness, and polishing the substrate under pressure distribution specified by the load type. A principal surface of the substrate has a flatness greater than 0 ?m and not greater than 0.25 ?m. A polishing apparatus includes a rotatable surface table, a polishing pad formed thereon, abrasive supplying means for supplying an abrasive to the polishing pad, substrate holding means, and substrate pressing means for pressing the substrate. The substrate pressing means has a plurality of pressing members for individually and desirably pressing a plurality of divided regions of the substrate surface.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: November 25, 2008
    Assignee: Hoya Corporation
    Inventors: Kesahiro Koike, Masato Ohtsuka, Yasutaka Tochihara
  • Publication number: 20080272087
    Abstract: A method of fabricating a probe tip for use in a scanning probe microscope, includes the steps of: forming a triangular prism provided with a passivation film by patterning a {111} general silicon wafer, the passivation film being deposited on two sidewalls of the triangular prism; etching the silicon wafer to make the triangular prism into a probe tip of a triangular pyramid shape; and removing the passivation film.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 6, 2008
    Applicant: M2N INC.
    Inventors: Young Geun Park, Hee Ok Jang
  • Patent number: RE41989
    Abstract: Electronic devices are formed on a substrate that is advanced stepwise through a plurality of deposition vessels. Each deposition vessel includes a source of deposition material and has at least two shadow masks associated therewith. Each of the two masks is alternately positioned within the corresponding deposition vessel for patterning the deposition material onto the substrate through apertures in the mask positioned therein, and positioned in an adjacent cleaning vessel for mask cleaning. The patterning onto the substrate and the cleaning of at least one of the masks are performed concurrently.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: December 7, 2010
    Assignee: Advantech Global, Ltd
    Inventor: Thomas Peter Brody