Using Ion Beam, Ultraviolet, Or Visible Light Patents (Class 216/66)
  • Patent number: 11527381
    Abstract: There is provided an ion implanter including a beam generation device that generates an ion beam, based on an implantation recipe, a plurality of measurement devices that measure at least one physical quantity of the ion beam, and a control device that acquires a data set including a plurality of measurement values measured by the plurality of measurement devices, and evaluates measurement validity of the at least one physical quantity of the ion beam by using a model representing a correlation between the plurality of measurement values.
    Type: Grant
    Filed: March 3, 2021
    Date of Patent: December 13, 2022
    Assignee: SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD.
    Inventors: Kazuhisa Ishibashi, Tetsuya Kudo, Mikio Yamaguchi
  • Patent number: 11469109
    Abstract: A semiconductor structure having metal contact features and a method for forming the same are provided. The method includes forming a dielectric layer covering an epitaxial structure over a semiconductor substrate and forming an opening in the dielectric layer to expose the epitaxial structure. The method includes forming a metal-containing layer over the dielectric layer and the epitaxial structure. The method includes heating the epitaxial structure and the metal-containing layer to transform a first portion of the metal-containing layer contacting the epitaxial structure into a metal-semiconductor compound layer. The method includes oxidizing the metal-containing layer to transform a second portion of the metal-containing layer over the metal-semiconductor compound layer into a metal oxide layer. The method includes applying a metal chloride-containing etching gas on the metal oxide layer to remove the metal oxide layer and forming a metal contact feature over the metal-semiconductor compound layer.
    Type: Grant
    Filed: March 14, 2019
    Date of Patent: October 11, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Liang Cheng, Ziwei Fang
  • Patent number: 11266970
    Abstract: Apparatus, computer program products, and methods for using a flow cell array are provided herein. A computer program product includes a computer readable storage medium having program instructions embodied therewith, the program instructions executable by a device to cause the device to determine placement of one or more reaction sites on a first component; provide a material for the reaction sites in one or more surface channels of the first component; connect the first component to a second component to form an array, wherein the surface channels of the first component connect the reaction sites with one or more vias, and wherein the second component comprises the vias connected to multiple sub-surface channels; and align the surface channels of the first component with the vias of the second component to form a connection between the first component and the second component.
    Type: Grant
    Filed: December 4, 2017
    Date of Patent: March 8, 2022
    Assignee: International Business Machines Corporation
    Inventors: Evan Colgan, Alexey Y. Lvov, Stanislav Polonsky
  • Patent number: 11165408
    Abstract: A method of manufacturing a substrate for an acoustic wave device includes: a substrate joining step of joining a piezoelectric material layer to a surface on one side of a support substrate; a grinding step of grinding the piezoelectric material layer; a removal amount map forming step of measuring in-plane thickness of the piezoelectric material layer by an optical thickness meter, and calculating a removal amount for the piezoelectric material layer for adjusting thickness variability of the piezoelectric material layer to or below a threshold on the basis of each coordinate in the plane, to form a removal amount map; a laser processing step of applying a pulsed laser beam of such a wavelength as to be absorbed in the piezoelectric material layer, to selectively remove the piezoelectric material layer, based on the removal amount map; and a polishing step of polishing the surface of the piezoelectric material layer.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: November 2, 2021
    Assignee: DISCO CORPORATION
    Inventors: Jun Abatake, Kenya Kai, Kentaro Shiraga, Keiji Nomaru
  • Patent number: 11101138
    Abstract: Provided is a method of etching a silicon-containing film made of at least one of silicon oxide and silicon nitride. The etching method includes: (i) preparing a workpiece having a silicon-containing film and a mask provided on the silicon-containing film in a chamber body of a plasma processing apparatus, in which an opening is formed in the mask; and (ii) etching the silicon-containing film, in which plasma is produced in the chamber body from processing gas containing fluorine, hydrogen, and iodine in order to etch the silicon-containing film, and a temperature of the workpiece is set to a temperature of 0° C. or less.
    Type: Grant
    Filed: January 31, 2020
    Date of Patent: August 24, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Maju Tomura, Yoshihide Kihara, Masanobu Honda
  • Patent number: 11098400
    Abstract: A machine for coating an optical article with an anti-soiling coating composition, includes a vacuum chamber (8) configured to receive the optical article, a vacuum pump (20) connected to the vacuum chamber (8), a plasma generator (11) configured to carry out a vacuum plasma treatment of the optical article, an evaporation device (10) configured to carry out a vacuum evaporation treatment of the composition for depositing it on the optical article, a control unit (2) controlling the plasma generator for removing an initial outermost anti-soiling coating of the article, controlling the evaporation device for recoating the article with the anti-soiling coating composition, being configured to causes the vacuum pump (20) to suck gases from the chamber (8) during vacuum plasma treatment and being further configured to causes the vacuum pump (20) not to suck gases from the chamber (8) during vacuum evaporation treatment.
    Type: Grant
    Filed: October 6, 2016
    Date of Patent: August 24, 2021
    Assignees: Essilor International, Satisloh AG
    Inventors: Reto Strobel, Stephan Scholze, Gérald Fournand, Narendra Borgharkar
  • Patent number: 10998304
    Abstract: A conductive line structure includes two conductive lines in a layout. The two cut lines are over at least a part of the two conductive lines in the layout. The cut lines designate cut sections of the two conductive lines and the cut lines are spaced from each other within a fabrication process limit. The two cut lines are connected in the layout. The two conductive lines are patterned over a substrate in a physical integrated circuit using the two connected parallel cut lines. The two conductive lines are electrically conductive.
    Type: Grant
    Filed: April 22, 2019
    Date of Patent: May 4, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ru-Gun Liu, Tung-Heng Hsieh, Tsung-Chieh Tsai, Juing-Yi Wu, Liang-Yao Lee, Jyh-Kang Ting
  • Patent number: 10950500
    Abstract: Embodiments of methods and apparatus for filling a feature disposed in a substrate are disclosed herein. In some embodiments, a method for filling a feature disposed in a substrate includes (a) depositing a metal within the feature to a first predetermined thickness in a first process chamber; (b) depositing the metal within the feature to a second predetermined thickness in a second process chamber; (c) etching the metal deposited in (b) to remove an overhang of the metal at a top of the feature in a third process chamber different than the first and second process chambers; and (d) subsequent to (c), filling the feature with the metal in a fourth process chamber different than the first and third process chambers.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: March 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Roey Shaviv, Xikun Wang, Ismail Emesh, Jianxin Lei, Wenting Hou
  • Patent number: 10825686
    Abstract: An example of forming semiconductor devices can include forming a silicon-hydrogen (Si—H) terminated surface on a silicon structure that includes patterned features by exposing the silicon structure to a hydrogen fluoride (HF) containing solution and performing a surface modification via hydrosilylation by exposing the Si—H terminated surface to an alkene and/or an alkyne.
    Type: Grant
    Filed: November 7, 2019
    Date of Patent: November 3, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Matthew S. Thorum, Gurtej S. Sandhu
  • Patent number: 10529540
    Abstract: Methods and systems for treating a substrate are described. In an embodiment, a method may include receiving a microelectronic substrate in a plasma processing chamber. A method may also include receiving process gas in the plasma processing chamber. Additionally, a method may include applying energy to the process gas with a first energy source and applying energy to the process gas with a second energy source. The method may further include selectively adjusting at least one of the first energy source and the second energy source between a first state and a second state.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: January 7, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Sergey Voronin, Christopher Talone, Alok Ranjan
  • Patent number: 10491993
    Abstract: A waterproof sound-transmitting membrane of the present disclosure includes: a non-porous resin film having formed therein a plurality of through holes extending through a thickness of the non-porous resin film; and a liquid-repellent layer formed on a principal surface of the resin film and having openings at positions corresponding to positions of the plurality of through holes. The through holes have a diameter of 4.0 ?m or more and 12.0 ?m or less, and the waterproof sound-transmitting membrane has a through-thickness air permeability of 2.0 cm3/(cm2·second) or more and 50 cm3/(cm2·second) or less in terms of Frazier number as measured according to JIS L 1096. With this waterproof sound-transmitting membrane placed over an opening of a housing of an electronic device or the like, entry of water into the housing from outside can be prevented, in addition to which the characteristics of sound transmitted through the membrane can be kept even when the membrane has a reduced effective area.
    Type: Grant
    Filed: July 2, 2014
    Date of Patent: November 26, 2019
    Assignee: NITTO DENKO CORPORATION
    Inventors: Masaaki Mori, Satoru Furuyama
  • Patent number: 10475355
    Abstract: An identifiable mark on a portion of a polished facet of a surface of an article and being identifiable by an optical magnifying viewing device, the identifiable mark comprising a nano-structure formed by a two-dimensional or a three-dimensional lattice of a plurality of discrete nanometer sized recessed or protruded entities, wherein the entities are arranged within a predefined region of the polished facet in a predetermined arrangement in relation to each other. The predetermined arrangement of the entities is non-uniform and non-periodic arrangement, and wherein the entities are sized and shaped so as to cause optical scattering upon reflection of incident light having one or more predetermined wavelengths by the lattice at a predetermined angle of incidence to the lattice and the distance from the inner interface surface to the outer interface surface is greater than the amplitude of the non-marked portion of the polished face.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: November 12, 2019
    Assignee: Chow Tai Fook Jewellery Company Limited
    Inventors: Koon Chung Hui, Wing Chi Tang, Ho Ching
  • Patent number: 10416564
    Abstract: A fragmentation pattern is formed on a surface of a warhead using an etchant process. An etchant resistance material is coated on an interior surface of the warhead casing. A portion of the etchant resistant material is selectively removed by a directed energy process and an etchant is applied to the exposed portion of the warhead casing surface thereby etching the fragmentation pattern. Alternatively, a protective coating is applied over the entire surface thereby creating the fragmentation pattern.
    Type: Grant
    Filed: March 13, 2018
    Date of Patent: September 17, 2019
    Assignee: The United States of America as Represented by the Secretary of the Army
    Inventors: Paul Manz, Philip Magnotti, Ductri Nguyen
  • Patent number: 10312086
    Abstract: A method for processing a substrate is provided. The method comprises forming a patterned photoresist over a first material, the patterned photoresist comprising island portions and shaped spaces surrounding the island portions. An area of each of the island portions is reduced to enlarge the shaped spaces, which are filled with a second material. The island portions are removed to form first openings in the second material. Portions of the first material exposed through the first openings are removed to form second openings in the first material. Portions of a substrate exposed through the second openings are removed to form holes in the substrate. Methods of patterning a substrate and methods of forming a hole pattern in a substrate are also disclosed.
    Type: Grant
    Filed: October 10, 2017
    Date of Patent: June 4, 2019
    Assignee: Micron Technology, Inc.
    Inventor: Kuo-Yao Chou
  • Patent number: 10163696
    Abstract: Exemplary methods for removing cobalt material may include flowing a chlorine-containing precursor into a processing region of a semiconductor processing chamber. The methods may include forming a plasma of the chlorine-containing precursor to produce plasma effluents. The methods may also include contacting an exposed region of cobalt with the plasma effluents. The exposed region of cobalt may include an overhang of cobalt on a trench defined on a substrate. The plasma effluents may produce cobalt chloride at the overhang of cobalt. The methods may include flowing a nitrogen-containing precursor into the processing region of the semiconductor processing chamber. The methods may further include contacting the cobalt chloride with the nitrogen-containing precursor. The methods may also include recessing the overhang of cobalt.
    Type: Grant
    Filed: November 11, 2016
    Date of Patent: December 25, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Xikun Wang, Jianxin Lei, Nitin Ingle, Roey Shaviv
  • Patent number: 9984854
    Abstract: The present invention has an objective to provide a processing method and an ion beam processing apparatus capable of inhibiting deposition of redeposited films even for fine patterns. In an embodiment of the present invention, ion beam processing is performed such that an etching amount of an ion beam incident in extending directions of pattern trenches formed on a substrate is made larger than the etching amount of the ion beam incident in other directions. This processing enables fine patterns to be processed while inhibiting redeposited films from being deposited on the bottom portions of the trenches of the fine patterns.
    Type: Grant
    Filed: December 8, 2014
    Date of Patent: May 29, 2018
    Assignee: CANON ANELVA CORPORATION
    Inventors: Yoshimitsu Kodaira, Isao Takeuchi, Mihoko Nakamura
  • Patent number: 9917246
    Abstract: A composite substrate production method of the invention includes (a) a step of mirror polishing a substrate stack having a diameter of 4 inch or more, the substrate stack including a piezoelectric substrate and a support substrate bonded to each other, the mirror polishing being performed on the piezoelectric substrate side until the thickness of the piezoelectric substrate reaches 3 ?m or less; (b) a step of creating data of the distribution of the thickness of the mirror-polished piezoelectric substrate; and (c) a step of performing machining with an ion beam machine based on the data of the thickness distribution so as to produce a composite substrate have some special technical features.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: March 13, 2018
    Assignee: NGK INSULATORS, LTD.
    Inventors: Yuji Hori, Tomoyoshi Tai, Mitsuo Ikejiri
  • Patent number: 9817310
    Abstract: A fluorinated photopolymer composition is disclosed having a branched copolymer provided in a fluorinated solvent. The copolymer includes a branching unit, a first repeating unit having a fluorine-containing group, and a second repeating unit having a solubility-altering reactive group. The branched fluorinated photopolymer composition is particularly suited for the fabrication of organic electronic and bioelectronic devices, or other devices having sensitive active organic materials.
    Type: Grant
    Filed: November 12, 2014
    Date of Patent: November 14, 2017
    Assignee: Orthogonal, Inc.
    Inventors: Douglas Robert Robello, Charles Warren Wright, Diane Carol Freeman, Frank Xavier Byrne, John Andrew DeFranco, Sandra Rubsam, Terrence Robert O'Toole
  • Patent number: 9786504
    Abstract: A method for forming a patterned layer is provided. The method comprises forming a first material layer over a first substrate, forming a photoresist layer on the first material layer, wherein the photoresist layer includes at least one island portion and a spacing surrounding a lateral portion of the island portion, trimming the island portion to enlarge the spacing, forming a second material layer filled in the enlarged spacing and surrounding the trimmed island portion, removing the trimmed island portion to form a first opening passing through the second material layer and exposing a portion of the first material layer, and removing the exposed portion of the first material layer through the first opening to form a second opening in the first material layer.
    Type: Grant
    Filed: May 16, 2016
    Date of Patent: October 10, 2017
    Assignee: Micron Technology, Inc.
    Inventor: Kuo-Yao Chou
  • Patent number: 9773973
    Abstract: A production process in which in an element isolation process for a magnetoresistive effect element, a re-deposited film adhered to a side wall of the element is efficiently removed by ion beam etching. Ion beam etching is performed while a substrate located being inclined relative to the grid is rotated. In the ion beam etching, an energy amount of an ion beam entering from a direction in which a pattern groove formed on the substrate extends is increased larger than the energy amount of the ion beam entering from another direction by controlling a rotation speed of the substrate, and the re-deposited film adhered to the side wall of the magnetoresistive effect element formed on the substrate is efficiently removed by etching.
    Type: Grant
    Filed: November 14, 2013
    Date of Patent: September 26, 2017
    Assignee: CANON ANELVA CORPORATION
    Inventors: Yoshimitsu Kodaira, Isao Takeuchi, Mihoko Nakamura
  • Patent number: 9284484
    Abstract: A process of synthesizing nanocrystals, the process including: obtaining a metal precursor, a non-metal precursor, a ligand compound, and an ionic liquid; and contacting the metal precursor, the non-metal precursor, the ligand compound, and the ionic liquid to form a mixture and synthesize a first semiconductor nanocrystal.
    Type: Grant
    Filed: June 5, 2014
    Date of Patent: March 15, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyo Sook Jang, Eun Joo Jang, Shin Ae Jun
  • Patent number: 9224615
    Abstract: A method of etching a trench in a substrate is provided. The method repeatedly alternates between using a fluorine-based plasma to etch a trench, which has trench sidewalls, into a selected region of the substrate; and using a fluorocarbon plasma to deposit a liner on the trench sidewalls. The liner, when formed and subsequently etched, has an exposed sidewall surface that includes scalloped recesses. The trench, which includes the scalloped recesses, is then bombarded with a molecular beam where the molecules are directed on an axis parallel to the trench sidewalls to reduce the scalloped recesses.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: December 29, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Che-Ming Chang, Lee-Chuan Tseng, Shih-Wei Lin, Chih-Jen Chan, Yuan-Chih Hsieh, Ming Chyi Liu, Chung-Yen Chou
  • Patent number: 9074283
    Abstract: An ion gun system includes an ion gun for irradiating an ion beam; an electric power supply unit for supplying electric power to the ion gun; two mass flow regulators for introducing each of two types of gas in the ion gun; a control unit connected to the electric power supply unit for working as ion gun control means for controlling electric power supplied to the ion gun from the electric power supply unit; and a control unit connected to the mass flow regulators for working as mass flow control means for controlling the flow rate of gas introduced from the mass flow regulators in the ion gun. The control unit as mass flow control means is provided with a function of changing the set value for the flow rate of each of the two types of gas to another set value by changing it stepwise within a range where the ion gun is working stably. Accordingly, shortening of film formation time can be attained.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: July 7, 2015
    Assignee: HOYA CORPORATION
    Inventors: Yukihiro Takahashi, Terufumi Hamamoto, Kenichi Shinde
  • Patent number: 9070654
    Abstract: A smoothing method for semiconductor material and semiconductor wafers produced by the method are disclosed. Semiconductor wafers with reduced atomic steps, as well with reduced scratches and subsurface defects can be produced. Such wafers feature an improved growth surface that can provide for the growth of an epilayer with reduced macroscopic defects and defect densities. A method of smoothing the surface of a wafer according to example embodiments of the invention includes planarizing the surface of a semiconductor wafer, and then oxidizing the wafer to achieve a specified thickness of oxide on the surface of the wafer. The oxide can then be stripped from the surface of the semiconductor wafer.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: June 30, 2015
    Assignee: Cree, Inc.
    Inventors: Davis Andrew McClure, Nathaniel Mark Williams
  • Patent number: 9045328
    Abstract: An array of microbumps with a layer or coating of non-superhydrophobic material yields a superhydrophobic surface, and may also have a contact angle hysteresis of 15 degrees or less. A surface with such an array may therefore be rendered superhydrophobic even though the surface structure and materials are not, by themselves, superhydrophobic.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: June 2, 2015
    Assignee: Analog Devices, Inc.
    Inventors: Fang Liu, Kuang Yang
  • Patent number: 8999178
    Abstract: A method for fabricating a sharpened needle-like emitter, the method including: electrolytically polishing an end portion of an electrically conductive emitter material so as to be tapered toward a tip portion thereof; performing a first etching in which the electrolytically polished part of the emitter material is irradiated with a charged-particle beam to form a pyramid-like sharpened part having a vertex including the tip portion; performing a second etching in which the tip portion is further sharpened through field-assisted gas etching, while observing a crystal structure at the tip portion by a field ion microscope and keeping the number of atoms at a leading edge of the tip portion at a predetermined number or less; and heating the emitter material to arrange the atoms at the leading edge of the tip portion of the sharpened part in a pyramid shape.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: April 7, 2015
    Assignee: Hitachi High-Tech Science Corporation
    Inventors: Yasuhiko Sugiyama, Kazuo Aita, Fumio Aramaki, Tomokazu Kozakai, Osamu Matsuda, Anto Yasaka
  • Patent number: 8999183
    Abstract: A method involving ion milling is demonstrated to fabricate open-nanoshell suspensions and open-nanoshell monolayer structures. Ion milling technology allows the open-nanoshell geometry and upward orientation on substrates to be controlled. Substrates can be fabricated covered with stable and dense open-nanoshell monolayer structures, showing nanoaperture and nanotip geometry with upward orientation, that can be used as substrates for SERS-based biomolecule detection.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: April 7, 2015
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Willem Jozef Katharina Van Roy, Jian Ye, Pol Van Dorpe
  • Patent number: 8984741
    Abstract: A method for manufacturing a magnetic read sensor allows for the construction of a very narrow trackwidth sensor while avoiding problems related to mask liftoff and shadowing related process variations across a wafer. The process involves depositing a plurality of sensor layers and forming a first mask structure. The first mask structure has a relatively large opening that encompasses a sensor area and an area adjacent to the sensor area where a hard bias structure can be deposited. A second mask structure is formed over the first mask structure and includes a first portion that is configured to define a sensor dimension and a second portion that is over the first mask structure in the field area.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: March 24, 2015
    Assignee: HGST Netherlands B.V.
    Inventors: Yi Zheng, Guomin Mao, Hicham M. Sougrati, Xiaozhong Dang
  • Publication number: 20150041432
    Abstract: A processing system is disclosed, having an electron beam source chamber that excites plasma to generate an electron beam, and an ion beam source chamber that houses a substrate and also excites plasma to generate an ion beam. The processing system also includes a dielectric injector coupling the electron beam source chamber to the ion beam source chamber that simultaneously injects the electron beam and the ion beam and propels the electron beam and the ion beam in opposite directions. The voltage potential gradient between the electron beam source chamber and the ion beam source chamber generates an energy field that is sufficient to maintain the electron beam and ion beam as a plasma treats the substrate so that radio frequency (RF) power initially applied to the processing system to generate the electron beam can be terminated thus improving the power efficiency of the processing system.
    Type: Application
    Filed: January 30, 2014
    Publication date: February 12, 2015
    Inventors: Zhiying Chen, Lee Chen, Merritt Funk
  • Publication number: 20150037540
    Abstract: An aspect of one embodiment, there is provided a template employed in imprinting including a substrate having a main surface, a pattern including a concave portion and a convex portion on the main surface, and a liquid-repellent layer selectively provided on the convex portion, the liquid-repellent layer having liquid-repellency to resist having fluidity in the imprinting.
    Type: Application
    Filed: February 18, 2014
    Publication date: February 5, 2015
    Inventors: Kei KOBAYASHI, Seiji MORITA
  • Patent number: 8945412
    Abstract: A substrate cleaning apparatus is capable of cleaning an entire periphery of a substrate end portion at a time by simple control without polishing the end portion and without generating plasma. The substrate cleaning apparatus has a mounting table 204 on which a wafer W is placed, a heating unit 210 for heating a wafer end portion, ultraviolet application unit 220 for applying ultraviolet to the wafer end portion, and a gas flow forming unit 230 for forming a gas flow on the surface of the wafer end portion. The heating unit, the ultraviolet application unit, and the gas flow forming unit are disposed near the wafer end portion so as to surround the wafer.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kawamura, Teruyuki Hayashi
  • Publication number: 20150021295
    Abstract: According to one embodiment, a pattern forming method includes: forming a guide layer, including a base layer and a neutralization film with a plurality of parallel line sections, on a processing target film, forming a polymer material containing first polymer segments and second polymer segments, on the guide layer, forming a self-assembly pattern having a plurality of first polymer portions containing the first polymer segment and extending in a direction of the line sections, and a plurality of second polymer portions containing the second polymer segment alternating with the first polymer portions and extending along the direction of the line sections, and selectively removing the second polymer portions. The widths of line sections of both ends of the plurality of line sections of the neutralization film are about two times the width of each first polymer portion or each second polymer portion.
    Type: Application
    Filed: February 26, 2014
    Publication date: January 22, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Ryoji YOSHIKAWA, Hideaki Sakurai, Shunsuke Ochiai
  • Publication number: 20150014275
    Abstract: A switchable ion gun switchable between a cluster mode setting for producing an ion beam substantially comprising ionised gas clusters and an atomic mode setting for producing an ion beam substantially comprising ionised gas atoms, comprising: a source chamber having a first gas inlet; a gas expansion nozzle for producing gas clusters in the presence of gas atoms by expansion of a gas from the source chamber through the nozzle; an ionisation chamber for ionising the gas clusters and gas atoms; wherein the ionisation chamber has a second gas inlet for admitting gas directly into the ionisation chamber to form ionised gas atoms; and a variable mass selector for mass selecting the ionised gas clusters and ionised gas atoms to produce an ion beam variable between substantially comprising ionised gas clusters and substantially comprising ionised gas atoms.
    Type: Application
    Filed: July 15, 2013
    Publication date: January 15, 2015
    Inventor: Bryan BARNARD
  • Publication number: 20150001176
    Abstract: A method and apparatus for altering the orientation of a charged particle beam sample is presented. Embodiments of the method includes providing a first work piece on a sample stage having a sample stage plane, the first work piece including a lamella plane in a first orientation. A sample is milled from the first work piece using an ion beam so that the sample is substantially free from the first work piece. A probe is attached to the sample, the probe including a shaft having a shaft axis, the shaft axis oriented at a shaft angle in relation to the sample stage plane, the shaft angle being non-normal to the sample stage plane. The probe is rotated about the shaft axis through a rotational angle so that the lamella plane is in a second orientation. The sample is attached to or placed on the sample on either the first work piece, the first work piece being the work piece from which the sample was milled, or on a second work piece, the second work piece being a work piece from which the sample was not milled.
    Type: Application
    Filed: June 28, 2013
    Publication date: January 1, 2015
    Inventor: Richard J. Young
  • Publication number: 20140378592
    Abstract: Disclosed herein is a block copolymer comprising a first segment and a second segment that are covalently bonded to each other and that are chemically different from each other; where the first segment has a first surface free energy and where the second segment has a second surface free energy; and an additive copolymer; where the additive copolymer comprises a surface free energy reducing moiety where the surface free energy reducing moiety has a lower surface free energy than that of the first segment and the second segment; the additive copolymer further comprising one or more moieties having an affinity to the block copolymer; where the surface free energy reducing moiety is chemically different from the first segment and from the second segment; where the additive copolymer is not water miscible; and where the additive copolymer is not covalently bonded with the block copolymer.
    Type: Application
    Filed: June 5, 2014
    Publication date: December 25, 2014
    Inventors: Peter Trefonas, III, Phillip D. Hustad, Deyan Wang, Rahul Sharma, Mingqi Li, Jieqian J. Zhang
  • Patent number: 8914955
    Abstract: A method for manufacturing a piezoelectric element includes a process for forming a first conductive layer, a process for forming a piezoelectric layer having a region serving as an active region, a process for forming a second conductive layer, which overlaps with the region, a process for forming a third conductive layer, which overlaps with the region, on the second conductive layer, a process for forming an opening portion that divides the third conductive layer into a first portion and a second portion, a process for forming a resist layer that covers the opening portion and a peripheral portion at the side of the opening portion of the first portion and the second portion; a process for etching the third conductive layer to form a first conductive portion and a second conductive portion, and a process for etching the second conductive layer to form a third conductive portion.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: December 23, 2014
    Assignee: Seiko Epson Corporation
    Inventors: Eiju Hirai, Hiroshi Ito, Toshihiro Shimizu, Jiro Kato
  • Publication number: 20140363978
    Abstract: Beam-induced etching uses a work piece maintained at a temperature near the boiling point of a precursor material, but the temperature is sufficiently high to desorb reaction byproducts. In one embodiment, NF3 is used as a precursor gas for electron-beam induced etching of silicon at a temperature below room temperature.
    Type: Application
    Filed: June 10, 2013
    Publication date: December 11, 2014
    Inventors: Aiden Martin, Milos Toth
  • Patent number: 8900470
    Abstract: A method for etching a layer is provided. A substrate is provided in a chamber. An etch plasma for etching a layer on the substrate is generated. Light from a first region of the chamber is measured to provide a first signal. Light from a second region of the chamber is measured to provide a second signal. The first signal with the second signal are compared to determine an etch endpoint.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventor: Evelio Sevillano
  • Patent number: 8893376
    Abstract: A method of forming a magnetic recording head is provided. The method comprises the steps of forming a damascene trench comprising a lower region having a substantially trapezoidal cross-section and an upper region having a substantially rectangular cross-section, and providing a magnetic material within the damascene trench.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: November 25, 2014
    Assignee: Western Digital(Fremont), LLC
    Inventors: Changqing Shi, Ming Jiang
  • Patent number: 8884526
    Abstract: In some embodiments, the present disclosure relates to a plasma processing system that generates a magnetic field having a maximum strength that is independent of workpiece size. The plasma processing system has a plurality of side electromagnets that have a size which is independent of the workpiece size. The side electromagnets are located around a perimeter of a processing chamber configured to house a semiconductor workpiece. When a current is provided to the side electromagnets, separate magnetic fields emanate from separate positions around the workpiece. The separate magnetic fields contribute to the formation of an overall magnetic field that controls the distribution of plasma within the processing chamber. Because the size of the plurality of separate side magnets is independent of the workpiece size, the plurality of side magnets can generate a magnetic field having a maximum field strength that is independent of workpiece size.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: November 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bo-Hung Lin, Ming-Chih Tsai, Chia-Ho Chen, Chung-En Kao
  • Patent number: 8881378
    Abstract: A method is described to improve performance of a magneto-resistive (MR) sensor under conditions of high areal density. The free layer is partially etched away, the removed material being replaced by a magnetic flux guide structure that reduces the free layer's demagnetization field. This in turn reduces the stripe height of the sensor so that the resolution and the read-back signal are enhanced without increasing noise and instability.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: November 11, 2014
    Assignee: Headway Technologies, Inc.
    Inventors: Yuchen Zhou, Joe Smyth, Min Li, Glen Garfunkel
  • Patent number: 8864959
    Abstract: Planetary carriers (22) for workpieces mounted on a carousel (19) are provided within a vacuum chamber. A source (24) for a cloud comprising ions (CL) is provided so that a central axis (ACL) of the cloud intercepts the rotary axis (A20) of the carousel (19). The cloud (CL) has an ion density profile at the moving path (T) of planetary axes (A22) which drops to 50% of the maximum ion density at a distance from the addressed center axis (ACL) which is at most half the diameter of the planetary carriers (22). When workpieces upon the planetary carriers (22) are etched by the cloud comprising ions material which is etched off is substantially not redeposited on neighboring planetary carriers but rather ejected towards the wall of the vacuum chamber.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: October 21, 2014
    Assignee: Oerlikon Trading AG, Truebbach
    Inventors: Siegfried Krassnitzer, Oliver Gstoehl, Markus Esselbach
  • Patent number: 8845913
    Abstract: An ion radiation damage prediction method includes a parameter computation step of computing the incidence energy and incidence angle of an incident ion hitting a fabricated object, and a step of searching for data in databases created in advance on the basis of the computed incidence energy and angle, the databases storing distributions of quantities of crystalline defects having an effect on the fabricated object, ion reflection probabilities and ion penetration depths. The method also includes finding the penetration depth and location of the incident ion based on the data found in the searching step and based on the computed incidence energy and angle, and computing a quantity of defects in the fabricated object from the penetration depth and location. A distribution of defects may be computed by performing the aforementioned steps for many incident ions.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: September 30, 2014
    Assignee: Sony Corporation
    Inventors: Nobuyuki Kuboi, Shoji Kobayashi
  • Patent number: 8819903
    Abstract: A manufacturing method of a piezoelectric element includes: forming a first conductive layer upon a substrate; forming a piezoelectric layer upon the first conductive layer; forming a second conductive layer upon the piezoelectric layer; forming a third conductive layer upon the second conductive layer; forming a first portion, a second portion, and an opening portion provided between the first portion and the second portion by patterning the third conductive layer; forming a resist layer that covers the opening portion and covers the edges of the first portion and the second portion that face the opening portion side; and forming a first conductive portion and a second conductive portion configured from the first portion and the second portion, and forming a third conductive portion configured from the second conductive layer, by dry-etching the second conductive layer using the first portion, the second portion, and the resist layer as a mask.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: September 2, 2014
    Assignee: Seiko Epson Corporation
    Inventors: Toshihiro Shimizu, Jiro Kato, Eiju Hirai, Hiroshi Ito
  • Patent number: 8815060
    Abstract: A method for applying a protective layer to an electronic device such as the ABS of a slider, magnetic head, etc. for reducing paramagnetic deadlayer thickness includes selecting an etching angle for minimizing formation of a paramagnetic deadlayer at an interface of an electronic device and an adhesive layer subsequently formed on the electronic device, etching a surface of an electronic device at the selected angle, the selected angle being less than about 75 degrees from an imaginary line extending perpendicular to the surface, forming an adhesive layer on the etched surface of the electronic device, and forming a protective layer on the adhesive layer. A magnetic head formed by the process is also disclosed.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: August 26, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Eric Wayne Flint, Ning Shi, Qi-Fan Xiao
  • Publication number: 20140234980
    Abstract: Devices, such as chips for DNA analysis, have at least one fluid transport nanochannel with at least one intersecting (e.g., transverse) sensing nanochannel that can be monitored for change in ionic current to determine characteristics or parameters of interest, e.g., molecular identification, length determination, localized (probe) mapping and the like.
    Type: Application
    Filed: September 7, 2012
    Publication date: August 21, 2014
    Applicant: The University of North Carolina at Chapel Hill
    Inventors: John Michael Ramsey, Jean Pierre Alarie, Laurent Menard
  • Patent number: 8808558
    Abstract: The invention provides a system and method for alignment of nanoparticles on a substrate. The system includes: a substrate; a plurality of polypeptide templates formed on the substrate; and a plurality of nanoparticles formed on the polypeptide templates. The method includes: providing a substrate; forming a plurality of polypeptide templates on the substrate; and forming a plurality of nanoparticles on the polypeptide templates.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: August 19, 2014
    Assignee: National Sun Yat-Sen University
    Inventor: Shu-Chen Hsieh
  • Patent number: 8808557
    Abstract: In one embodiment, a pattern forming method includes forming a physical guide that includes a first pattern in a first region and a second pattern in a second region on an underlying film, embedding a polymer material into a concave portion of the physical guide, microphase-separating the polymer material, to form a self-assembly pattern having a first and a second polymer sections, observing the self-assembly pattern in the second region, to determine from an observation result whether or not the self-assembly pattern in the first region has a predetermined shape, and selectively removing the first polymer section in the case of determining that the self-assembly pattern in the first region has the predetermined shape. The second pattern includes a pattern with a larger coverage ratio than the first pattern and a pattern with a smaller coverage ratio than the first pattern.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: August 19, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuriko Seino, Hiroki Yonemitsu
  • Patent number: 8801944
    Abstract: A method for manufacturing a magnetic write pole of a magnetic write head that achieves improved write pole definition reduced manufacturing cost and improves ease of photoresist mask re-work. The method includes the use of a novel bi-layer hard mask beneath a photoresist mask. The bi-layer mask includes a layer of silicon dielectric, and a layer of carbon over the layer of silicon dielectric. The carbon layer acts as an anti-reflective coating layer that is unaffected by the photolithographic patterning process used to pattern the write pole and also acts as an adhesion layer for resist patterning. In the event that the photoresist patterning is not within specs and a mask re-work must be performed, the bi-layer mask can remain intact and need not be removed and re-deposited. In addition, the low cost and ease of use silicon dielectric and carbon reduce manufacturing cost and increase throughput.
    Type: Grant
    Filed: November 28, 2012
    Date of Patent: August 12, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Guomin Mao, Yi Zheng
  • Patent number: 8801945
    Abstract: A write element for a thermally assisted magnetic head slider includes an air bearing surface facing to a magnetic recording medium; a first magnetic pole, a second magnetic pole, and coils sandwiched between the first and the second magnetic poles; a waveguide for guiding light generated by a light source module mounted on a substrate; and a plasmon unit provided around the first magnetic pole and the waveguide, which has a near-field light generating surface for propagating near-field light to the air bearing surface. The near-field light generating surface of the plasmon unit is apart from the air bearing surface with a first predetermined distance to form a first recess, and the first recess is filled in with a protective layer. The thermally assisted magnetic head slider can prevent the plasmon unit from protruding over the air bearing surface, thereby improving the performance of thermally assisted magnetic head.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: August 12, 2014
    Assignee: SAE Magnetics (H.K.) Ltd.
    Inventors: Natsuo Nishijima, Ryuji Fujii, Hong Tao Ma, Jian Hui Huang, Huan Chao Liang, Zhong Xian Wei