Application Of Energy To The Gaseous Etchant Or To The Substrate Being Etched Patents (Class 216/63)
  • Patent number: 11837439
    Abstract: Disclosed in the present application is an inductively coupled plasma treatment system. Said system switches the connection between a radio frequency coil and a faraday shielding device by means of a switch switching radio frequency power. When a radio frequency power supply is connected to the radio frequency coil by means of a matched network, the radio frequency power is coupled into the radio frequency coil to perform plasma treatment process. When a radio frequency power supply is connected to a faraday shielding device by means of a matched network, the radio frequency power is coupled into the faraday shielding device to perform cleaning process on a dielectric window and an inner wall of a plasma treatment cavity.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: December 5, 2023
    Assignee: JIANGSU LEUVEN INSTRUMENTS CO. LTD
    Inventors: Haiyang Liu, Xiaobo Liu, Xuedong Li, Na Li, Shiran Cheng, Song Guo, Dongdong Hu, Kaidong Xu
  • Patent number: 11735481
    Abstract: An improved work function layer and a method of forming the same are disclosed. In an embodiment, the method includes forming a semiconductor fin extending from a substrate; depositing a dielectric layer over the semiconductor fin; depositing a first work function layer over the dielectric layer; and exposing the first work function layer to a metastable plasma of a first reaction gas, a metastable plasma of a generation gas, and a metastable plasma of a second reaction gas, the first reaction gas being different from the second reaction gas.
    Type: Grant
    Filed: August 2, 2021
    Date of Patent: August 22, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shao-Jyun Wu, Hung-Chi Wu, Chia-Ching Lee, Pin-Hsuan Yeh, Hung-Chin Chung, Hsien-Ming Lee, Chien-Hao Chen, Sheng-Liang Pan, Huan-Just Lin
  • Patent number: 11464922
    Abstract: According to the invention there is disclosed a dispenser device for dispensing a medicament, the device comprising at least one component having a coating that comes into contact with the medicament during storage or use of the device on at least one surface thereof, the coating comprising a cross-linked non-diamond like carbon layer comprising 50 At % hydrogen or less and having an interface with the underlying surface of the component.
    Type: Grant
    Filed: October 29, 2018
    Date of Patent: October 11, 2022
    Assignee: Portal Medical Limited
    Inventors: Darren Bromley-Davenport, Paul Stevenson
  • Patent number: 11127423
    Abstract: Devices that include a near field transducer (NFT), the NFT having at least one external surface; and at least one adhesion layer positioned on at least a portion of the at least one external surface, the adhesion layer including oxides of yttrium, oxides of scandium, oxides of lanthanoids, oxides of actionoids, oxides of zinc, or combinations thereof.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: September 21, 2021
    Assignee: Seagate Technology LLC
    Inventors: Xiaoyue Huang, Michael C. Kautzky
  • Patent number: 11084837
    Abstract: The present invention relates to a chemical deposition raw material for manufacturing an iridium thin film or an iridium compound thin film by a chemical deposition method, including an iridium complex in which cyclopropenyl or a derivative thereof and a carbonyl ligand are coordinated to iridium. The iridium complex that is applied in the present invention enables an iridium thin film to be manufactured even when a reducing gas such as hydrogen is applied. in which R1 to R3, which are substituents of the cyclopropenyl ligand, are each independently hydrogen, or a linear or branched alkyl group with a carbon number of 1 or more and 4 or less.
    Type: Grant
    Filed: March 5, 2018
    Date of Patent: August 10, 2021
    Assignee: TANAKA KIKINZOKU KOGYO K.K.
    Inventors: Ryosuke Harada, Toshiyuki Shigetomi, Kazuharu Suzuki
  • Patent number: 11030700
    Abstract: Systems, apparatus, interfaces, methods, and articles of manufacture that provide for acquisition, management, and/or utilization of surface segment data.
    Type: Grant
    Filed: March 11, 2019
    Date of Patent: June 8, 2021
    Assignee: The Travelers Indemnity Company
    Inventors: Gregory L. Cote, Dean M. Collins, Beth S. Tirone, Christopher M. Hayes
  • Patent number: 10930520
    Abstract: An interconnect dielectric material having an opening formed therein is first provided. A surface nitridation process is then performed to form a nitridized dielectric surface layer within the interconnect dielectric material. A metal layer is formed on the nitridized dielectric surface layer and then an anneal is performed to form a metal nitride layer between the metal layer and the nitridized dielectric surface layer. A portion of the originally deposited metal layer that is not reacted with the nitridized dielectric surface is then selectively removed and thereafter an electrical conducting structure is formed directly on the metal nitride layer that is present in the opening.
    Type: Grant
    Filed: April 8, 2019
    Date of Patent: February 23, 2021
    Assignee: International Business Machines Corporation
    Inventor: Chih-Chao Yang
  • Patent number: 10886139
    Abstract: A method performs a planar and cross-sectional etching of semiconductor devices made up of multiple layers of dissimilar materials, like metals and dielectrics. The method results in the removal of multiple layers with the aim of either exposing a single layer of interest or cross-sectioning several layers to perform various applications including but not limited to, nanoprobing, circuit edit and failure analysis. The method comprises directing an ion beam toward a defined area on the semiconductor device in the presence of an etching agent, thereby removing at least a portion of the mixed metal and dielectric layer and producing a recess with at least one substantially smooth and planar surface in the milled area. The used etching agent comprises oxidizing and reducing elements in a ratio optimized such that the quantity of oxidizing elements is one element more than the quantity of reducing elements.
    Type: Grant
    Filed: April 4, 2017
    Date of Patent: January 5, 2021
    Assignees: TESCAN Brno, s.r.o., ORSAY Physics
    Inventors: Gregory Goupil, Sharang, Jan Brulik
  • Patent number: 10854470
    Abstract: Etching stop which is caused by a metal released from a metal-containing mask can be avoided. A plasma etching method includes a protective film forming process of forming a protective film on a metal-containing film, which is formed on an etching target film and provided with a preset opening pattern, by a first processing gas; and an etching process of etching the etching target film by plasma generated from a second processing gas while using, as a mask, the metal-containing film on which the protective film is formed.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: December 1, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takayuki Katsunuma
  • Patent number: 10847368
    Abstract: A coating layer is deposited on a patterned feature on a first portion of a substrate. A second portion of the substrate outside the patterned feature is etched. The etching and the depositing are performed in a single pulsed plasma process using at least one of a pulsed source power signal and a pulsed bias power signal.
    Type: Grant
    Filed: April 7, 2017
    Date of Patent: November 24, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Byungkook Kong, Sangwook Kim, SeungHyun Park, Abhjeet Bagal, Kyoungjin Lee, Daksh Agarwal
  • Patent number: 10811594
    Abstract: A method for fabricating an array of pillars. The method includes fabricating an MTJ (magnetic tunnel junction) film deposition metal stack on a CMOS wafer. The method selects between subsequent electron beam patterning for the wafer and photolithography patterning for the wafer. For electron beam patterning, an electron beam lithography hard mask is deposited onto the metal stack, and an electron beam is used to pattern a first array of pillars into the electron beam lithography hard mask to produce a first resulting pillar array. For photolithography patterning, a photolithography hard mask is deposited onto the metal stack, and photolithography is used to pattern a second array of pillars into the photolithography hard mask to produce a second resulting pillar array. The first resulting pillar array is substantially the same as the second resulting pillar array.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: October 20, 2020
    Assignee: Spin Memory, Inc.
    Inventors: Prachi Shrivastava, Daniel Liu, Yuan Tung Chin
  • Patent number: 10418223
    Abstract: A foil liner comprising a plurality of foil layers is disclosed. The foil layers may each be an electrically conductive material that are stacked on top of each other. The spacing between adjacent foil layers may create a thermal gradient such that the temperature of the plasma is hotter than the temperature of the ion source chamber. In other embodiments, the foil layers may be assembly to sink the heat from the plasma so that the plasma is cooler than the temperature of the ion source chamber. In some embodiments, gaps or protrusions are disposed on one or more of the foil layers to affect the thermal gradient. In certain embodiments, one or more of the foil layers may be constructed of an insulating material to further affect the thermal gradient. The foil liner may be easily assembled, installed and replaced from within the ion source chamber.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: September 17, 2019
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Craig R. Chaney, Adam M. McLaughlin, James A. Sargent, Joshua M. Abeshaus
  • Patent number: 10170329
    Abstract: Embodiments of systems and methods for spacer formation for SAMP techniques are described. In an embodiment a method includes providing a substrate with a spacer having a conformal coating. The method may also include performing a spacer freeze treatment process. Additionally, the method may include performing an etch and clean process on the substrate. Further, the method may include controlling the spacer treatment process and etch and clean process in order to achieve spacer formation objectives.
    Type: Grant
    Filed: September 19, 2017
    Date of Patent: January 1, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Eric Chih-Fang Liu, Akiteru Ko
  • Patent number: 9711373
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes providing a substrate, forming an interfacial layer on the substrate by treating the substrate with radicals, and forming a high-k dielectric layer on the interfacial layer. The radicals are selected from the group consisting of hydrous radicals, nitrogen/hydrogen radicals, and sulfur/hydrogen radicals.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: July 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Che-Hao Chang, Cheng-Hao Hou, Chen-Hua Yu, Tai-Bor Wu
  • Patent number: 9524856
    Abstract: A sample chamber is configured to accommodate a target such that a portion of the target is removable as a sample. A carrier gas injection system is configured to introduce a carrier gas into the sample region from a first position and a second position within the sample chamber such that at least a portion of the sample is entrainable by the carrier gas within the sample region. A portion of the sample region is located between the first position and the second position. A sample transport conduit is configured to transport at least a portion of the sample entrained by the carrier gas to a location outside the sample chamber.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: December 20, 2016
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Shane Hilliard, Ciaran J O'Connor, Jay Wilkins, Erik Larsen, Leif Summerfield
  • Patent number: 9048189
    Abstract: Plasma processing methods of a semiconductor manufacturing apparatus which can minimize the amount of impurities adhered to the surface of a wafer, when a desired process using plasma is performed. According to the plasma processing methods of the semiconductor manufacturing apparatus, after the desired process is completed, the plasma generated over the wafer is diffused, and then the wafer is de-chucked.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: June 2, 2015
    Assignee: SAMSUNG Electronics Co., Ltd.
    Inventors: Hyun-Su Jun, Ki-Sang Kim, Seung-Heong Lee, Jong-Bum Kim, Min-Woung Choi, In-Joong Kim
  • Publication number: 20150144595
    Abstract: A gas cluster irradiation mechanism includes at least one nozzle unit having a plurality of gas injection nozzles, and a gas supply unit for supplying the gas to the nozzle unit. The plurality of the gas injection nozzles is set such that when the gas is supplied from the gas injection nozzles at a preset flow rate a pressure in the processing chamber remains below a limit at which the gas cluster begins to be destroyed. Further, the gas injection nozzles are arranged with a preset interval between neighboring gas injection nozzle such that respective areas in which residual gas from the neighboring gas injection nozzles spreads do not overlap with each other, the residual gas being part of the gas injected from the gas injection nozzles and not contributing to generation of the gas cluster.
    Type: Application
    Filed: May 22, 2013
    Publication date: May 28, 2015
    Applicant: Tokyo Electron Limited
    Inventors: Kensuke Inai, Kazuya Dobashi
  • Patent number: 9039911
    Abstract: Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 26, 2015
    Assignee: Lam Research Corporation
    Inventors: Eric A. Hudson, Andrew D. Bailey, III, Rajinder Dhindsa
  • Patent number: 9023226
    Abstract: The present disclosure provides a method for manufacturing a particle source comprising: placing a metal wire in vacuum, introducing active gas, adjusting a temperature of the metal wire and applying a positive high voltage V to the metal wire to generate at a side of the head of the metal wire an etching zone in which field induced chemical etching (FICE) is performed; increasing by the FICE a surface electric field at the top of the metal wire head to be greater than a field evaporation electric field of material for the metal wire, so that metal atoms at the top of the metal wire are evaporated off; after the field evaporation is activated by the FICE, causing mutual adjustment between the FICE and the field evaporation, until the head of the metal wire has a shape of combination of a base and a tip on the base; and stopping the FICE and the field evaporation when the head of the metal wire takes a predetermine shape.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: May 5, 2015
    Assignee: 38th Research Institute, China Electronics Technology Group Corporation
    Inventors: Huarong Liu, Ping Chen
  • Patent number: 9017562
    Abstract: The present disclosure provides a method for manufacturing a particle source, comprising: placing a metal wire in vacuum, introducing active gas and catalyst gas, adjusting a temperature of the metal wire, and applying a positive high voltage V to the metal wire to dissociate the active gas at the surface of the metal wire, in order to generate at a peripheral surface of the head of the metal wire an etching zone in which field induced chemical etching (FICE) is performed; increasing by the FICE a surface electric field at the top of the metal wire head to be greater than the to evaporation field of the material for the metal wire, so that metal atoms at the wire apex are evaporated off; after the field evaporation is activated by the FICE, causing mutual adjustment between the FICE and the field evaporation, until the head of the metal wire has a shape of combination of a base and a tip on the base; and stopping the FICE and the field evaporation when the head of the metal wire takes a predetermine shape.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: April 28, 2015
    Assignee: 38th Research Institute, China Electronics Technology Group Corporation
    Inventor: Huarong Liu
  • Patent number: 9017564
    Abstract: A plasma etching method performs plasma etching on a sample, which has laminated films containing a variable layer of a magnetic film, a barrier layer of an insulating material, and a fixed layer of a magnetic film, using a hard mask, which includes at least one of a Ta film and a TiN film. The plasma etching method includes a first step of etching the laminated films using N2 gas; and a second step of etching the laminated films after the first step using mixed gas of N2 gas and gas containing carbon elements.
    Type: Grant
    Filed: February 7, 2013
    Date of Patent: April 28, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsushi Yoshida, Naohiro Yamamoto, Makoto Suyama, Kentaro Yamada, Daisuke Fujita
  • Patent number: 8986561
    Abstract: Disclosed is a substrate processing method of etching a substrate including a target layer, and a mask layer and an intermediate layer that are stacked on the target layer, to form a pattern on the target layer via the intermediate layer and the mask layer. The intermediate layer is etched under a processing pressure of 100 mTorr (1.33×10 Pa) to 150 mTorr (2.0×10 Pa) by using as a processing gas a mixture gas of CF4, CHF3, and C4F8, and the mask layer is etched by using a COS-containing gas as a processing gas.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Sungtae Lee, Masahiro Ogasawara, Masahiro Ito
  • Patent number: 8975192
    Abstract: A method is provided for manufacturing a semiconductor device having a heat-resistant resin film with flip-chip connection structure using a solder bump or a gold bump and an epoxy resin compound laminated thereon, in which adhesiveness is improved particularly after exposure to high temperature and high humidity environments for a long period of time, thereby enhancing the reliability of the semiconductor device. The method, in accordance with the present invention, for manufacturing a semiconductor device having a heat-resistant resin film formed on a semiconductor element and an epoxy resin compound layer laminated thereon, comprises the steps of carrying out a plasma treatment on a surface of the heat-resistant resin film on which the epoxy resin compound layer is laminated using a nitrogen atom-containing gas containing at least one of nitrogen, ammonia, and hydrazine.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: March 10, 2015
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Yasunori Kojima, Toshiaki Itabashi
  • Patent number: 8926850
    Abstract: Plasma processing with enhanced charge neutralization and process control is disclosed. In accordance with one exemplary embodiment, the plasma processing may be achieved as a method of plasma processing a substrate. The method may comprise providing the substrate proximate a plasma source; applying to the plasma source a first RF power level during a first period and a second RF power level during a second period, the first and second RF power levels being greater than zero RF power level, wherein the second RF power level is greater than the first RF power level; generating with the plasma source a first plasma during the first period and a second plasma during the second period; and applying to the substrate a first bias voltage during the first period and a second bias voltage during the second period, wherein the first voltage has more negative potential than the second voltage.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: January 6, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Vikram Singh, Timothy J. Miller, Bernard G. Lindsay
  • Patent number: 8927431
    Abstract: Methods of etching a silicon substrate at a high rate using a chemical vapor etching process are provided. A silicon substrate may be etched by heating the silicon substrate in a process chamber and then flowing hydrochloric acid and a germanium-carrying compound into the process chamber. The substrate may be heated to at least 700° C. The hydrochloric acid flow rate may be at least approximately 100 (standard cubic centimeters per minute) sccm. In some embodiments, the hydrochloric acid flow rate may be between approximately 10 slm and approximately 20 standard liters per minute (slm). The germanium-carrying compound flow rate may be at least approximately 50 sccm. In some embodiments, the germanium-carrying compound flow rate may be between approximately 100 sccm and approximately 500 sccm. The etching may extend fully through the silicon substrate.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: January 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Gen P. Lauer, Isaac Lauer, Joseph S. Newbury
  • Patent number: 8921234
    Abstract: Methods of etching exposed titanium nitride with respect to other materials on patterned heterogeneous structures are described, and may include a remote plasma etch formed from a fluorine-containing precursor. Precursor combinations including plasma effluents from the remote plasma are flowed into a substrate processing region to etch the patterned structures with high titanium nitride selectivity under a variety of operating conditions. The methods may be used to remove titanium nitride at faster rates than a variety of metal, nitride, and oxide compounds.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: December 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Jie Liu, Jingchun Zhang, Anchuan Wang, Nitin K. Ingle, Seung Park, Zhijun Chen, Ching-Mei Hsu
  • Publication number: 20140360979
    Abstract: A dry non-plasma treatment system and method for removing oxide material is described. The treatment system is configured to provide chemical treatment of one or more substrates, wherein each substrate is exposed to a gaseous chemistry under controlled conditions including surface temperature and gas pressure. Furthermore, the treatment system is configured to provide thermal treatment of each substrate, wherein each substrate is thermally treated to remove the chemically treated surfaces on each substrate.
    Type: Application
    Filed: August 22, 2014
    Publication date: December 11, 2014
    Inventors: Martin Kent, Eric J. Strang
  • Patent number: 8906248
    Abstract: A method etching features through a stack of a silicon nitride layer over a silicon layer over a silicon oxide layer in a plasma processing chamber is provided. The silicon nitride layer is etched in the plasma processing chamber, comprising; flowing a silicon nitride etch gas; forming the silicon nitride etch gas into a plasma to etch the silicon nitride layer, and stopping the flow of the silicon nitride etch gas. The silicon layer is, comprising flowing a silicon etch gas, wherein the silicon etch gas comprises SF6 or SiF4, forming the silicon etch gas into a, and stopping the flow of the silicon etch gas. The silicon oxide layer is etched in the plasma processing chamber, comprising flowing a silicon oxide etch gas, forming the silicon oxide etch gas into a plasma, and stopping the flow of the silicon oxide etch gas.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Siyi Li, Robert C. Hefty, Mark Todhunter Robson, James R. Bowers, Audrey Charles
  • Patent number: 8894870
    Abstract: A system and method for etching a material, including a compound having a formulation of XYZ, wherein X and Y are one or more metals and Z is selected from one or more Group 13-16 elements, such as carbon, nitrogen, boron, silicon, sulfur, selenium, and tellurium, are disclosed. The method includes a first etch process to form one or more first volatile compounds and a metal-depleted layer and a second etch process to remove at least a portion of the metal-depleted layer.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: November 25, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Jereld Lee Winkler, Eric James Shero, Fred Alokozai
  • Patent number: 8883024
    Abstract: The invention provide apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDÆ’) data and associated (VUV/EEDÆ’)-related procedures in (VUV/EEDÆ’) etch systems. The (VUV/EEDÆ’)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDÆ’)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Patent number: 8877080
    Abstract: The invention provides an apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDf) data and associated (VUV/EEDf)-related procedures in (VUV/EEDf) etch systems. The (VUV/EEDf)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDf)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Patent number: 8871120
    Abstract: Some embodiments include methods of removing silicon dioxide in which the silicon dioxide is exposed to a mixture that includes activated hydrogen and at least one primary, secondary, tertiary or quaternary ammonium halide. The mixture may also include one or more of thallium, BX3 and PQ3, where X and Q are halides. Some embodiments include methods of selectively etching undoped silicon dioxide relative to doped silicon dioxide, in which thallium is incorporated into the doped silicon dioxide prior to the etching. Some embodiments include compositions of matter containing silicon dioxide doped with thallium to a concentration of from about 1 weight % to about 10 weight %.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: October 28, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Nishant Sinha
  • Patent number: 8828872
    Abstract: The invention relates to a method for etching a structure (1) including at least one material (4) to be etched, said method consisting in: selecting at least one chemical species that can react with the material (4) to be etched; selecting at least one soluble compound that can release this chemical species; producing a solution (11) containing the compound and a powder of particles or solid grains (13) in suspension; placing the material to be etched in the presence of the solution; and producing high-frequency ultrasounds in the solution, at at least one frequency, capable of generating active cavitation bubbles such that the chemical species is generated and reacts with the material to be etched, thereby producing a soluble compound or a precipitate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: September 9, 2014
    Assignees: Institut Polytechnique de Grenoble, Universite Joseph Fourier
    Inventors: Francis Baillet, Nicolas Gondrexon
  • Patent number: 8828255
    Abstract: The invention relates to a method for etching a structure (1) including at least one material (4) to be etched, said method consisting in: selecting at least one chemical species that can react with the material (4) to be etched; selecting at least one soluble compound that can release this chemical species; producing a solution (11) containing said compound; placing the structure (1) in a position such that the surface of the material to be etched is in the presence of the solution and additional bubbles of a gas; and producing high-frequency ultrasounds in the solution, at at least one frequency, capable of generating reactive cavitation bubbles such that the chemical species is generated in the presence of these additional bubbles and reacts with the material to be etched, thereby producing a soluble compound or a precipitate.
    Type: Grant
    Filed: May 12, 2010
    Date of Patent: September 9, 2014
    Assignees: Institut Polytechnique de Grenoble, Universite Joseph Fourier
    Inventors: Francis Baillet, Nicolas Gondrexon
  • Patent number: 8808561
    Abstract: A method for processing substrate in a processing chamber, which has at least one plasma generating source and a gas source for providing process gas into the chamber, is provided. The method includes exciting the plasma generating source with an RF signal having RF frequency. The method further includes pulsing the gas source, using at least a first gas pulsing frequency, such that a first process gas is flowed into the chamber during a first portion of a gas pulsing period and a second process gas is flowed into the chamber during a second portion of the gas pulsing period, which is associated with the first gas pulsing frequency. The second process gas has a lower reactant-gas-to-inert-gas ratio relative to a reactant-gas-to-inert-gas ratio of the first process gas. The second process gas is formed by removing at least a portion of a reactant gas flow from the first process gas.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: August 19, 2014
    Assignee: Lam Research Coporation
    Inventor: Keren Jacobs Kanarik
  • Patent number: 8785331
    Abstract: The present invention discloses a method for replacing chlorine atoms on a film layer. More particularly, sufficient replacement ions for replacing the chlorine atoms are formed in a plasma process by reducing a volume ratio of a gas in a gas mixture (i.e. the film layer may be etched with the ions formed by dissociation of the gas) and dissociation of the gas mixture further decreases the etching reaction to the film layer in a process for replacing the chlorine atoms. In comparison to a conventional process by pure oxygen, the present invention can improve the prior art re-etching problem to avoid affecting an electric property of a thin film transistor, also has an advantage of manufacturing time reduction for an increased production yield.
    Type: Grant
    Filed: June 8, 2012
    Date of Patent: July 22, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Yang-Ling Cheng
  • Patent number: 8784674
    Abstract: A perpendicular magnetic recording (PMR) head is fabricated with a pole tip shielded laterally by a graded side shield that is conformal to the shape of the pole tip at an upper portion of the shield but not conformal to the pole tip at a lower portion. The shield includes a trailing shield, that is conformal to the trailing edge of the pole tip and may include a leading edge shield that magnetically connects two bottom ends of the graded side shield.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: July 22, 2014
    Assignee: Headway Technologies, Inc.
    Inventors: Yan Wu, Zhigang Bai, Moris Dovek, Cherng-Chyi Han, Min Li, Jianing Zhou, Jiun-Ting Lee, Min Zheng
  • Patent number: 8771538
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: July 8, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Jang-Gyoo Yang, Matthew Miller, Jay Pinson, Kien Chuc
  • Patent number: 8764952
    Abstract: In a method of irradiating a gas cluster ion beam on a solid surface and smoothing the solid surface, the angle formed between the solid surface and the gas cluster ion beam is chosen to be between 1° and an angle less than 30°. In case the solid surface is relatively rough, the processing efficiency is raised by first irradiating a beam at an irradiation angle ? chosen to be something like 90° as a first step, and subsequently at an irradiation angle ? chosen to be 1° to less than 30° as a second step. Alternatively, the set of the aforementioned first step and second step is repeated several times.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: July 1, 2014
    Assignee: Japan Aviation Electronics Industry Limited
    Inventors: Akinobu Sato, Akiko Suzuki, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki, Takaaki Aoki
  • Publication number: 20140175054
    Abstract: In one embodiment, a gas distribution assembly includes an injection block having at least one inlet to deliver a precursor gas to a plurality of plenums from at least two gas sources, a perforated plate bounding at least one side of each of the plurality of plenums, at least one radiant energy source positioned within each of the plurality of plenums to provide energy to the precursor gas from one or both of the at least two gas sources and flow an energized gas though openings in the perforated plate and into a chamber, and a variable power source coupled to each of the radiant energy sources positioned within each of the plurality of plenums.
    Type: Application
    Filed: March 3, 2014
    Publication date: June 26, 2014
    Applicant: Applied Materials, Inc.
    Inventors: David Keith CARLSON, Satheesh KUPPURAO, Howard BECKFORD, Herman DINIZ, Kailash Kiran PATALAY, Brian Hayes BURROWS, Jeffery Ronald CAMPBELL, Zuoming ZHU, Xiaowei LI, Errol Antonio SANCHEZ
  • Patent number: 8741165
    Abstract: An apparatus for etching a dielectric layer contained by a substrate is provided. An etch reactor comprises a top electrode and a bottom electrode. An etch gas source supplies an etch gas into the etch reactor. A first Radio Frequency (RF) source generates a first RF power with a first frequency and supplies the first RF power into the etch reactor, whereas the first frequency is between 100 kilo Hertz (kHz) and 600 kHz. A second RF source generates a second RF power with a second frequency and supplies the second RF power into the etch reactor, whereas the second frequency is at least 10 mega Hertz (MHz).
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: June 3, 2014
    Assignee: Lam Research Corporation
    Inventors: Bing Ji, Erik A. Edelberg, Takumi Yanagawa
  • Patent number: 8742665
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: June 3, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Jang-Gyoo Yang, Matthew Miller, Jay Pinson, Kien Chuc
  • Patent number: 8702999
    Abstract: Method and plasma treatment apparatus for treatment of a substrate surface (1) using an atmospheric pressure plasma. An atmospheric pressure plasma is provided in a treatment space (5) between a first electrode (2) and a second electrode (3). Furthermore, a substrate (1) and a mask web (7) in contact with the substrate (1) are provided. A plasma generating power is applied to the first and second electrode (2, 3) for treatment of surface areas of the substrate (1) exposed by the mask web (7), in which the substrate (1) and mask web (7) are moved synchronously through the treatment space (5).
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: April 22, 2014
    Assignee: FujiFilm Manufacturing Europe B.V.
    Inventors: Bruno Alexander Korngold, Hindrik Willem de Vries, Eugen Aldea
  • Patent number: 8691103
    Abstract: A method of treating a workpiece is described. The method comprises computing correction data from metrology data related to a workpiece surface profile, adjusting the surface profile in accordance with the correction data using a gas cluster ion beam (GCIB), and further adjusting the surface profile by performing an etching process following the GCIB adjustment.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: April 8, 2014
    Assignee: TEL Epion Inc.
    Inventor: John J. Hautala
  • Patent number: 8679354
    Abstract: A controlled method of releasing a microstructure comprising a silicon oxide layer located between a substrate layer and a layer to be released from the silicon oxide layer is described. The method comprises the step of exposing the silicon oxide layer to a hydrogen fluoride vapor in a process chamber having controlled temperature and pressure conditions. A by-product of this reaction is water which also acts as a catalyst for the etching process. It is controlled employment of this inherent water source that results in a condensed fluid layer forming, and hence etching taking place, only on the exposed surfaces of the oxide layer. The described method therefore reduces the risk of the effects of capillary induced stiction within the etched microstructure and/or corrosion within the microstructure and the process chamber itself.
    Type: Grant
    Filed: August 2, 2007
    Date of Patent: March 25, 2014
    Assignee: Memsstar Limited
    Inventor: Anthony O'Hara
  • Patent number: 8673243
    Abstract: A reactor includes respective first and second introduction passages for introducing first and second reactants, a merging passage in which the first reactant merges with the second reactant, and a reaction passage in which the two merged reactants react with each other. First and second introduction grooves respectively constituting part of the first and second introduction passages are formed in a first surface of the base of the flow path structure of the reactor, while a reaction groove constituting part of the reaction passage is formed in a second surface of the base. A merging hole constituting part of the merging passage runs from the first surface of the base to the second surface thereof. The downstream end of the first introduction groove and the downstream end of the second introduction groove merge at the merging hole from different directions.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: March 18, 2014
    Assignee: Kobe Steel, Ltd.
    Inventors: Koji Noishiki, Yasutake Miwa
  • Patent number: 8648316
    Abstract: The invention relates to a cooling apparatus (101) for a sample in an ion beam etching process, including, a sample stage (102) for arranging the sample, a coolant receptacle (120) containing a coolant, at least one thermal conduction element (106a, 106b) that connects the sample stage (102) to the coolant, a cooling finger (105) connected to the thermal conduction element (106a, 106b), the cooling finger (105) comprising a conduit (130, 131) through which coolant can flow and which is connectable to the coolant receptacle (120). The invention further relates to a method of adjusting the temperature of a sample in an ion beam etching process, including mounting a sample on a coolable sample stage (102), aligning the sample on the sample stage (102), and cooling the sample by coolant directed through a conduit (130, 131) of a cooling finger.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: February 11, 2014
    Assignee: Leica Mikrosysteme GmbH
    Inventors: Thomas Pfeifer, Rainer Wogritsch
  • Patent number: 8636911
    Abstract: Two methods of fabricating a MEMS scanning mirror having a tunable resonance frequency are described. The resonance frequency of the mirror is set to a particular value by mass removal from the backside of the mirror during fabrication.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: January 28, 2014
    Assignees: MagIC Technologies, Inc., Advanced Numicro Systems, Inc.
    Inventors: Jun Chen, Guomin Mao, Tom Zhong, Wei Cao, Yee-Chung Fu, Chyu-Jiuh Torng
  • Patent number: 8632687
    Abstract: The invention relates to a method for electron beam induced etching of a layer contaminated with gallium, with the method steps of providing at least one first halogenated compound as an etching gas at the position at which an electron beam impacts on the layer, and providing at least one second halogenated compound as a precursor gas for removing of the gallium from this position.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: January 21, 2014
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Nicole Auth, Petra Spies, Rainer Becker, Thorsten Hofmann, Klaus Edinger
  • Publication number: 20140004327
    Abstract: A method of preparing graphene nanoribbons from a few-layer graphene film includes the steps of growing or placing a few-layer graphene film on a substrate, applying nanoparticles to a surface of the few-layer graphene layer on the substrate and performing chemical vapor etching. The resulting few-layer graphene nanoribbon has a thickness of between about 0.3 nm and about 50.0 nm and a width of between about 1.0 nm and about 20.0 nm.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 2, 2014
    Applicant: THE UNIVERSITY OF KENTUCKY RESEARCH FOUNDATION
    Inventors: Douglas Robert Strachan, Joseph Kelly Stieha, David Patrick Hunley, Stephen Lee Johnson, JR.