Using Coil To Generate The Plasma Patents (Class 216/68)
  • Patent number: 11942898
    Abstract: Described are concepts, systems, circuits and techniques directed toward methods and apparatus for generating one or more pulse width modulated (PWM) waveforms with the ability to dynamically control pulse width and phase with respect to a reference signal.
    Type: Grant
    Filed: March 24, 2022
    Date of Patent: March 26, 2024
    Assignee: Massachusetts Institute of Technology
    Inventors: Alexander Sergeev Jurkov, David J. Perreault
  • Patent number: 11887823
    Abstract: Systems and methods for material processing using wafer scale waves of precisely controlled electrons in a DC plasma is presented. A surface floating potential of a substrate placed atop a stage in a positive column of the DC plasma is adjusted and maintained to a reference potential. A periodic biasing signal referenced to the reference potential is capacitively coupled to the stage to control a surface potential at the substrate according to: an active phase for provision of kinetic energy to free electrons in the DC plasma for activation of targeted bonds at the surface of the substrate; a neutralization phase for repelling of the free electrons from the surface of the substrate; and an initialization phase for restoring an initial condition of the surface floating potential.
    Type: Grant
    Filed: April 25, 2023
    Date of Patent: January 30, 2024
    Assignee: VELVETCH LLC
    Inventors: Stewart Francis Sando, Samir John Anz, David Irwin Margolese, William Andrew Goddard
  • Patent number: 11862431
    Abstract: The present invention comprises: a high-frequency power supply; an antenna group having a plurality of antennas connected to the high-frequency power supply; a plurality of reactance variable elements connected to the feeding sides and the grounding sides of the plurality of antennas; a current detection mechanism which detects the current flowing through the feeding sides and the ground sides of the plurality of antennas; a uniformity calculation unit which calculates the uniformity index value of the current flowing through the plurality of antennas, on the basis of the current value detected by the current detection mechanism; and a reactance changing unit which sequentially changes the reactance of the plurality of reactance variable elements such that the uniformity index value calculated by the uniformity calculation unit approaches a predetermined set value.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: January 2, 2024
    Assignee: NISSIN ELECTRIC CO., LTD.
    Inventors: Tsubasa Iwakoke, Shigeaki Kishida
  • Patent number: 11773484
    Abstract: A method of forming a carbon hard mask includes generating a radio frequency plasma including carbon-based ions by supplying continuous wave radio frequency power to a plasma processing chamber. The carbon-based ions have a first average ion energy. The method further includes adjusting the first average ion energy of the carbon-based ions to a second average ion energy by supplying continuous wave direct current power to the plasma processing chamber concurrently with the continuous wave radio frequency power and forming a carbon hard mask at a substrate within the plasma processing chamber by delivering the carbon-based ions having the second average ion energy to the substrate.
    Type: Grant
    Filed: May 21, 2021
    Date of Patent: October 3, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Jianping Zhao, Peter Lowell George Ventzek, Toshihiko Iwao
  • Patent number: 11680315
    Abstract: Provided are methods and systems for providing silicon-containing films. The composition of the silicon-containing film can be controlled by the choice of the combination of precursors and the ratio of flow rates between the precursors. The silicon-containing films can be deposited on a substrate by flowing two different organo-silicon precursors to mix together in a reaction chamber. The organo-silicon precursors react with one or more radicals in a substantially low energy state to form the silicon-containing film. The one or more radicals can be formed in a remote plasma source.
    Type: Grant
    Filed: March 25, 2022
    Date of Patent: June 20, 2023
    Assignee: Novellus Systems, Inc.
    Inventor: Bhadri N. Varadarajan
  • Patent number: 11670486
    Abstract: Embodiments for processing a substrate in a pulsed plasma chamber are provided. A processing apparatus with two chambers, separated by a plate fluidly connecting the chambers, includes a continuous wave (CW) controller, a pulse controller, and a system controller. The CW controller sets the voltage and the frequency for a first radio frequency (RF) power source coupled to a top electrode. The pulse controller is operable to set voltage, frequency, ON-period duration, and OFF-period duration for a pulsed RF signal generated by a second RF power source coupled to the bottom electrode. The system controller is operable to regulate the flow of species between the chambers to assist in the negative-ion etching, to neutralize excessive positive charge on the wafer surface during afterglow in the OFF-period, and to assist in the re-striking of the bottom plasma during the ON-period.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: June 6, 2023
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Eric Hudson, Andrew D. Bailey, III
  • Patent number: 11564292
    Abstract: Embodiments disclosed herein include a housing for a source assembly. In an embodiment, the housing comprises a conductive body with a first surface and a second surface opposite from the first surface, and a plurality of openings through a thickness of the conductive body between the first surface and the second surface. In an embodiment, the housing further comprises a channel into the first surface of the conductive body, and a cover over the channel. In an embodiment, a first stem over the cover extends away from the first surface, and a second stem over the cover extends away from the first surface. In an embodiment, the first stem and the second stem open into the channel.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: January 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: James Carducci, Richard C. Fovell, Larry D. Elizaga, Silverst Rodrigues, Thai Cheng Chua, Philip Allan Kraus
  • Patent number: 11447857
    Abstract: Method and apparatus that forms low resistivity tungsten film on substrates. In some embodiments, a method of reducing resistivity of tungsten includes generating a plasma in a processing volume of a physical vapor deposition (PVD) chamber with a process gas of krypton and using an RF power with a frequency of approximately 60 MHz and a magnetron, applying bias power at frequency of approximately 13.56 MHz to a substrate, and sputtering a tungsten target to deposit a tungsten thin film on the substrate. At least approximately 90% of the deposited tungsten thin film has a <110> crystalline orientation plane approximately parallel to a top surface of the substrate.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: September 20, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wenting Hou, Jianxin Lei, Jothilingam Ramalingam, Prashanth Kothnur, William R. Johanson
  • Patent number: 11189452
    Abstract: A method includes: receiving a first signal from a first sensor at a first filter and preventing passage of a first portion of the first signal via the first filter. The first portion of the first signal is at a first RF. A second portion of the first signal is indicative of a first temperature of a first electrode in a plasma chamber. The method further includes: outputting a second signal from the first filter; receiving the second signal at a second filter; and preventing passage of a portion of the second signal via the second filter. The portion of the second signal is at a second RF. The second RF is less than the first RF. The first filter and the second filter are implemented on a printed circuit board. The method further includes adjusting a temperature of the first electrode based on an output of the second filter.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: November 30, 2021
    Assignee: Lam Research Corporation
    Inventors: Vince Burkhart, Christopher Ramsayer, Mohan Thilagaraj
  • Patent number: 11145494
    Abstract: In plasma processing, damage on a cover is prevented while thermal effect on an annular frame is suppressed. Plasma processing is applied to a substrate held by a carrier including an annular frame and a holding sheet. There are provided a chamber having a decompressible internal space, a plasma source for generating plasma in the chamber, a stage that is provided in the chamber and places the carrier thereon, and a cover that is placed above the stage to cover the holding sheet and the frame, and has a window penetrating through the thickness of the cover. The cover is made of a material having a high thermal conductivity, and a front face exposed to plasma, at least on the side of the window of the cover, is covered with a protect part made of a material having a low reactivity with plasma.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: October 12, 2021
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Shogo Okita, Mitsuhiro Okune
  • Patent number: 11120986
    Abstract: A method includes etching a first oxide layer in a wafer. The etching is performed in an etcher having a top plate overlapping the wafer, and the top plate is formed of a non-oxygen-containing material. The method further includes etching a nitride layer underlying the first oxide layer in the etcher until a top surface of a second oxide layer underlying the nitride layer is exposed. The wafer is then removed from the etcher, with the top surface of the second oxide layer exposed when the wafer is removed.
    Type: Grant
    Filed: October 11, 2019
    Date of Patent: September 14, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: En-Ping Lin, Yi-Wei Chiu, Tzu-Chan Weng, Wen-Zhong Ho
  • Patent number: 11102863
    Abstract: The present disclosure provides systems for generating tunable white light. The systems include a plurality of LED strings that generate light with color points that fall within white, red, and yellow/green color ranges, with each LED string being driven with a separately controllable drive current in order to tune the generated light output.
    Type: Grant
    Filed: February 17, 2020
    Date of Patent: August 24, 2021
    Assignee: Ecosense Lighting Inc.
    Inventors: Raghuram L.V. Petluri, Paul Kenneth Pickard
  • Patent number: 10992392
    Abstract: One example discloses a first near-field electromagnetic induction (NFEMI) device, including: a controller configured to be coupled to an NFEMI antenna and to a structure; wherein the NFEMI antenna includes electric (E) near-field and magnetic (H) near-field generating and/or receiving portions; wherein the controller is configured to modulate a ratio of energy sent to and/or received from the electric and magnetic portions; wherein the controller is configured to receive a signal corresponding to whether the structure is between the first NFEMI device and a second NFEMI device; and wherein the controller is configured to decrease the ratio of energy sent to and/or received from the electric (E) portion as compared to energy sent to and/or received from the magnetic (H) portion if the structure is between the first and second NFEMI devices.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: April 27, 2021
    Assignee: NXP B.V.
    Inventors: Liesbeth Gommé, Anthony Kerselaers
  • Patent number: 10763083
    Abstract: Methods and apparatus for performing high energy atomic layer etching are provided herein. Methods include providing a substrate having a material to be etched, exposing a surface of the material to a modification gas to modify the surface and form a modified surface, and exposing the modified surface to an energetic particle to preferentially remove the modified surface relative to an underlying unmodified surface where the energetic particle has an ion energy sufficient to overcome an average surface binding energy of the underlying unmodified surface. The energy of the energetic particle used is very high; in some cases, the power applied to a bias used when exposing the modified surface to the energetic particle is at least 150 eV.
    Type: Grant
    Filed: October 1, 2018
    Date of Patent: September 1, 2020
    Assignee: Lam Research Corporation
    Inventors: Wenbing Yang, Samantha Tan, Tamal Mukherjee, Keren Jacobs Kanarik, Yang Pan
  • Patent number: 10720337
    Abstract: An etching process is provided that includes a pre-clean process to remove a surface oxide of a dielectric material. The removal of the oxide can be executed through a thermal reaction and/or plasma process before the etch process. In some embodiments, the removal of the oxide increases etch process control and reproducibility and can improve the selectivity versus oxides.
    Type: Grant
    Filed: July 20, 2018
    Date of Patent: July 21, 2020
    Assignee: ASM IP HOLDING B.V.
    Inventors: Rene Henricus Jozef Vervuurt, Nobuyoshi Kobayashi, Takayoshi Tsutsumi, Masaru Hori
  • Patent number: 10622190
    Abstract: Systems and methods for controlling a plasma edge region are described. One of the systems includes a top electrode and a bottom electrode. The system also includes an upper electrode extension and a lower electrode extension. At least a portion of the plasma edge region is formed between the upper electrode extension and the lower electrode extension. The system includes a circuit to control a radio frequency signal at the upper electrode extension.
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: April 14, 2020
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa
  • Patent number: 10544519
    Abstract: During a pre-treat process, hydrogen plasma is used to remove contaminants (e.g., oxygen, carbon) from a surface of a wafer. The hydrogen plasma may be injected into the plasma chamber via an elongated injector nozzle. Using such elongated injector nozzle, a flow of hydrogen plasma with a significant radial velocity flows over the wafer surface, and transports volatile compounds and other contaminant away from the wafer surface to an exhaust manifold. A protective liner made from crystalline silicon or polysilicon may be disposed on an inner surface of the plasma chamber to prevent contaminants from being released from the surface of the plasma chamber. To further decrease the sources of contaminants, an exhaust restrictor made from silicon may be employed to prevent hydrogen plasma from flowing into the exhaust manifold and prevent volatile compounds and other contaminants from flowing from the exhaust manifold back into the plasma chamber.
    Type: Grant
    Filed: August 25, 2017
    Date of Patent: January 28, 2020
    Assignee: AIXTRON SE
    Inventors: Stephen Edward Savas, Miguel Angel Saldana, Dan Lester Cossentine, Hae Young Kim, Subramanian Tamilmani, Niloy Mukherjee, M Ziaul Karim
  • Patent number: 10504720
    Abstract: A method includes etching a first oxide layer in a wafer. The etching is performed in an etcher having a top plate overlapping the wafer, and the top plate is formed of a non-oxygen-containing material. The method further includes etching a nitride layer underlying the first oxide layer in the etcher until a top surface of a second oxide layer underlying the nitride layer is exposed. The wafer is then removed from the etcher, with the top surface of the second oxide layer exposed when the wafer is removed.
    Type: Grant
    Filed: October 5, 2017
    Date of Patent: December 10, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: En-Ping Lin, Yi-Wei Chiu, Tzu-Chan Weng, Wen-Zhong Ho
  • Patent number: 10373794
    Abstract: A circuit including a first filter assembly and a controller. The first filter assembly is implemented on a printed circuit board. The first filter assembly includes a first filter and a second filter. The first filter receives a first signal from a first sensor, prevents passage of a first portion of the first signal and outputs a second signal. The first portion of the first signal is at a first radio frequency. A second portion of the first signal is indicative of a first temperature of a first electrode in a plasma chamber. The second filter receives the second signal and prevents passage of a portion of the second signal. The portion of the second signal is at a second radio frequency. The second radio frequency is less than the first radio frequency. The controller adjusts a temperature of the first electrode based on an output of the second filter.
    Type: Grant
    Filed: December 10, 2015
    Date of Patent: August 6, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Vince Burkhart, Christopher Ramsayer, Mohan Thilagaraj
  • Patent number: 10109495
    Abstract: An etching method is provided for selectively etching a first region of silicon oxide with respect to a second region of silicon nitride by performing plasma processing on a target object including the first region and the second region. In the etch method, first, a plasma of a processing gas including a fluorocarbon gas is generated in a processing chamber where the target object is accommodated. Next, the plasma of the processing gas including the fluorocarbon gas is further generated in the processing chamber where the target object is accommodated. Next, the first region is etched by radicals of fluorocarbon contained in a deposit which is formed on the target object by the generation and the further generation of the plasma of the processing gas containing the fluorocarbon gas. A high frequency powers used for the plasma generation is smaller than a high frequency power used for plasma further generation.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: October 23, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hikaru Watanabe, Akihiro Tsuji
  • Patent number: 9966270
    Abstract: Methods, systems, and computer programs are presented for controlling gas flow in a semiconductor manufacturing chamber. The method includes flowing a reactant gas thorough an inner feed and a tuning gas through an outer feed surrounding the inner feed, such that the gases do not mix until both are introduced in the chamber. Further, the flow of the reactant gas is convective, and the flow of the tuning gas is directed at an angle from the direction of the reactant gas, providing a delivery of the tuning gas in closer proximity to the RF power before further mixing with the reactant gas. Radio frequency power is provided to the electrode to ignite a plasma using the reactant and tuning gases.
    Type: Grant
    Filed: March 31, 2015
    Date of Patent: May 8, 2018
    Assignee: Lam Research Corporation
    Inventors: Saravanapriyan Sriraman, Monica Titus, Alex Paterson
  • Patent number: 9793134
    Abstract: A method of concurrently etching a first region in which silicon oxide films and silicon nitride films are alternately stacked and a second region including the silicon oxide film having a thickness larger than a thickness of the silicon oxide film of the first region is provided. The method includes generating plasma of a first processing gas containing a fluorocarbon gas and a hydrofluorocarbon gas within a processing vessel of a plasma processing apparatus into which a processing target object is carried; and generating plasma of a second processing gas containing a hydrogen gas, a hydrofluorocarbon gas and a nitrogen gas within the processing vessel of the plasma processing apparatus. Further, the generating of the plasma of the first processing gas and the generating of the plasma of the second processing gas are repeated alternately.
    Type: Grant
    Filed: March 25, 2016
    Date of Patent: October 17, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yusuke Saitoh, Hironobu Ichikawa
  • Patent number: 9735069
    Abstract: A method for dry processing a substrate in a processing chamber is provided. The substrate is placed in the processing chamber. The substrate is dry processed, wherein the dry processing creates at least one gas byproduct. A concentration of the at least one gas byproduct is measured. The concentration of the at least one gas byproduct is used to determine processing rate of the substrate.
    Type: Grant
    Filed: September 23, 2015
    Date of Patent: August 15, 2017
    Assignee: Lam Research Corporation
    Inventors: Yassine Kabouzi, Luc Albarede, Andrew D. Bailey, III, Jorge Luque, Seonkyung Lee, Thorsten Lill
  • Patent number: 9460935
    Abstract: The invention relates to a method for fabricating a semiconductor device. The method comprises forming a first etching layer and a second etching layer stacked on a substrate, and forming a recess region by etching the first and second etching layers under plasma generated from an etching gas including a compound. The compound comprises at least one of 1,1,1,2,3,3-hexafluoropropane, 2,2,2-trifluoroethane-1-thiol, 1,1,1,3,3-pentafluoropropane, 1,1,2,2,3-pentafluoropropane and 1,1,2,2-tetrafluoro-1-iodoethane, 2,3,3,3-tetrafluoropropene and 1,1-difluoroethene.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: October 4, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Wonwoong Chung
  • Patent number: 9455153
    Abstract: There is provided a plasma processing method capable of carrying out a stable plasma process by way of improving plasma stabilization and also capable of increasing lifetime of a variable capacitor in a matching unit, as compared to a conventional case. The plasma processing method comprises performing a power modulation that periodically switches the high frequency power from the high frequency power supply between a first power and a second power higher than the first power, and performing a mask control that stops a matching operation of the matching unit for an application time of the first power and for a preset time after an application of the second power is started.
    Type: Grant
    Filed: June 18, 2013
    Date of Patent: September 27, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Genki Koguchi, Akio Morisaki, Yukinori Hanada
  • Patent number: 9449845
    Abstract: Methods of etching exposed titanium nitride with respect to other materials on patterned heterogeneous structures are described, and may include a remote plasma etch formed from a fluorine-containing precursor. Precursor combinations including plasma effluents from the remote plasma are flowed into a substrate processing region to etch the patterned structures with high titanium nitride selectivity under a variety of operating conditions. The methods may be used to remove titanium nitride at faster rates than a variety of metal, nitride, and oxide compounds.
    Type: Grant
    Filed: December 29, 2014
    Date of Patent: September 20, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Jie Liu, Jingchun Zhang, Anchuan Wang, Nitin K. Ingle, Seung Park, Zhijun Chen, Ching-Mei Hsu
  • Patent number: 9384992
    Abstract: A plasma processing method is provided for etching a multilayer film having a first film and a second film with differing dielectric constants alternatingly stacked on a substrate, and forming a hole with a predetermined shape in the multilayer film. The plasma processing method includes a first step of etching the multilayer film to a first depth using a gas mixture containing a CF based gas at a first flow rate and a bromine-containing gas, a chloride-containing gas, and/or an iodine-containing gas; a second step of etching the multilayer film to a second depth after the first step using a gas mixture containing the CF based gas at a second flow rate and the bromine-containing gas, the chloride-containing gas, and/or the iodine-containing gas; and a third step for over etching the multilayer film after the second step until the hole reaches a base layer.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: July 5, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuki Narishige, Takanori Sato, Manabu Sato
  • Patent number: 9224618
    Abstract: A method for etching features in an etch layer in a plasma processing chamber is provided. An etch gas is flowed into the plasma processing chamber. A top outer electrode is maintained at a temperature of at least 150° C. during the etching of the features. The etch gas is formed into a plasma, which etches the etch layer.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: December 29, 2015
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Ananth Indrakanti, Rajinder Dhindsa
  • Patent number: 9209032
    Abstract: This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. The plasma processing system may include a plasma chamber that can receive and process the substrate using plasma for etching the substrate, doping the substrate, or depositing a film on the substrate. This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. In one embodiment, the plasma density may be controlled by reducing the rate of loss of ions to the chamber wall during processing. This may include biasing a dual electrode ring assembly in the plasma chamber to alter the potential difference between the chamber wall region and the bulk plasma region.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: December 8, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Zhiying Chen
  • Patent number: 9162394
    Abstract: The invention concerns a method for producing three-dimensional objects (3) layer by layer using a powdery material (5) which can be solidified by irradiating it with a high-energy beam.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: October 20, 2015
    Assignee: Arcam AB
    Inventor: Ulf Ackelid
  • Patent number: 9117633
    Abstract: There is provided a plasma processing apparatus for performing a process on a substrate by generating inductively coupled plasma. The plasma processing apparatus includes an upper lid, provided to cover a top opening of the processing chamber, having a dielectric window; multiple gas inlets provided at the upper lid; a high frequency coil positioned above the dielectric window at an outside of the processing chamber; and a gas supply device supported by the upper lid and provided under the dielectric window. Here, the gas supply device includes a single sheet of plate having through holes, multiple groove-shaped gas paths are formed between the plate and the dielectric window, end portions of the groove-shaped gas paths are opened to edges of the through holes and communicate with the gas inlets, and the gas supply device is configured to supply the processing gas into the processing chamber via the through holes.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: August 25, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Hachishiro Iizuka
  • Patent number: 9082590
    Abstract: A plasma reactor has an overhead multiple coil inductive plasma source with symmetric and radial RF feeds and cylindrical RF shielding around the symmetric and radial RF feeds. The radial RF feeds are symmetrically fed to the plasma source.
    Type: Grant
    Filed: August 14, 2013
    Date of Patent: July 14, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: James D. Carducci, Kenneth S. Collins, Richard Fovell, Jason A. Kenney, Kartik Ramaswamy, Shahid Rauf
  • Publication number: 20150136735
    Abstract: To provide a plasma processing device and a plasma processing method capable of performing high-speed processing. In an inductively-coupled plasma torch unit, a coil, a lid and a first ceramic block are bonded together, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. The first ceramic block is cooled efficiently by allowing a refrigerant to flow in a refrigerant flow path.
    Type: Application
    Filed: July 22, 2014
    Publication date: May 21, 2015
    Inventor: TOMOHIRO OKUMURA
  • Patent number: 9034200
    Abstract: The present invention relates to a method of producing a diamond surface including the steps of providing an original diamond surface, subjecting the original diamond surface to plasma etching to remove at least 2 nm of material from the original surface and produce a plasma etched surface, the roughness Rq of the plasma etched surface at the location of the etched surface where the greatest depth of material has been removed satisfying at least one of the following conditions: Rq of the plasma etched surface is less than 1.5 times the roughness of Rq of the original surface, or Rq of the plasma etched surface is less than 1 nm.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: May 19, 2015
    Assignee: Element Six Limited Technologies Limited
    Inventors: Chee-Leong Lee, Erdan Gu, Geoffrey Alan Scarsbrook, Ian Friel, Martin David Dawson
  • Patent number: 9029267
    Abstract: A method for controlling thermal cycling of a faraday shield in a plasma process chamber is provided. The method includes: performing a first plasma processing operation on a first wafer in the plasma process chamber; terminating the first plasma processing operation; performing a first wafer transfer operation to transfer the first wafer out of the chamber; and, during the first wafer transfer operation, applying power to a TCP coil under a plasma limiting condition.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: May 12, 2015
    Assignee: Lam Research Corporation
    Inventors: Sanket Sant, Raphael Casaes
  • Patent number: 8999104
    Abstract: A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: April 7, 2015
    Assignee: Lam Research Corporation
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William R. Entley
  • Publication number: 20150053645
    Abstract: The plasma processing apparatus includes a dielectric member for defining a chamber, a gas introducing part for introducing a gas into the chamber, a discharge coil disposed on one side of the dielectric member and supplied with AC power to generate a plasma in the chamber into which the gas has been introduced, a conductor member disposed on the other side of the dielectric member and facing the discharge coil with the chamber of the dielectric member interposed therebetween, an AC power source for supplying AC voltage to the discharge coil, an opening communicating with the chamber and serving for applying the plasma to a substrate to be processed, and a moving mechanism for moving the substrate relative to the chamber so that the substrate passes across a front of the opening. The discharge coil is grounded or connected to the conductor member via a voltage generating capacitor or a voltage generating coil.
    Type: Application
    Filed: June 11, 2014
    Publication date: February 26, 2015
    Inventor: Tomohiro OKUMURA
  • Patent number: 8962488
    Abstract: Methods for processing a substrate are provided herein. In some embodiments, a method of etching a dielectric layer includes generating a plasma by pulsing a first RF source signal having a first duty cycle; applying a second RF bias signal having a second duty cycle to the plasma; applying a third RF bias signal having a third duty cycle to the plasma, wherein the first, second, and third signals are synchronized; adjusting a phase variance between the first RF source signal and at least one of the second or third RF bias signals to control at least one of plasma ion density non-uniformity in the plasma or charge build-up on the dielectric layer; and etching the dielectric layer with the plasma.
    Type: Grant
    Filed: March 25, 2013
    Date of Patent: February 24, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Bryan Liao, Katsumasa Kawasaki, Yashaswini Pattar, Sergio Fukuda Shoji, Duy D. Nguyen, Kartik Ramaswamy, Ankur Agarwal, Phillip Stout, Shahid Rauf
  • Publication number: 20150014276
    Abstract: A plasma processing method for performing a plasma process on a processing target substrate is provided. The plasma processing method includes: segmenting a RF antenna into an inner coil, an intermediate coil, and an outer coil with gaps therebetween in a radial direction, respectively, the inner coil, the intermediate coil and the outer coil being electrically connected to one another in parallel between a first node and a second node; providing a variable intermediate capacitor and a variable outer capacitor between the first node and the second node, the variable intermediate capacitor being electrically connected in series to the intermediate coil, the variable outer capacitor being electrically connected in series to the outer coil, no reactance device being connected to the inner coil; and controlling plasma density distribution on the processing target substrate by selecting or variably adjusting electrostatic capacitances of the intermediate capacitor and the outer capacitor.
    Type: Application
    Filed: July 8, 2014
    Publication date: January 15, 2015
    Inventor: Yohei YAMAZAWA
  • Patent number: 8906249
    Abstract: A plasma processing apparatus includes a beam-shaped spacer 7 which is placed at an upper opening of a chamber 3 opposed to a substrate 2 to support a dielectric plate 8. The dielectric plate 8 is supported by the beam-shaped spacer 7. In the beam-shaped spacer 7 are provided a plurality of process gas introducing ports 31, 36 which have a depression angle ?d and which are provided downward and directed toward the substrate 2, as well as a plurality of rare gas introducing ports 41 having a elevation angle ?e directed toward the dielectric plate 8. Improvement of processing rates such as etching rate as well as effective suppression of wear of the dielectric plate 8 can be achieved.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: December 9, 2014
    Assignee: Panasonic Corporation
    Inventors: Mitsuru Hiroshima, Hiromi Asakura
  • Patent number: 8883028
    Abstract: A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: November 11, 2014
    Assignee: Lam Research Corporation
    Inventor: Keren Jacobs Kanarik
  • Publication number: 20140291290
    Abstract: In an inductive coupling type plasma torch unit, a solenoid coil is arranged in the vicinity of a first quartz block and a second quartz block, and a space inside a long chamber is annular. Plasma generated in the space inside the long chamber is jetted toward a base material from a plasma jetting port) as a slit-shaped opening in the long chamber. The base material is processed by relatively moving the long chamber and a base material holding mechanism holding the base material inside the annular chamber in a direction perpendicular to the longitudinal direction of the plasma jetting port.
    Type: Application
    Filed: March 20, 2014
    Publication date: October 2, 2014
    Applicant: PANASONIC CORPORATION
    Inventors: Taro KITAOKA, Tomohiro OKUMURA
  • Publication number: 20140263181
    Abstract: A pulsed radio frequency inductive plasma source and method are provided. The source may generate plasma at gas pressures from 1 torr to 2000 torr. By utilizing high power RF generation from fast solid state switches such as Insulated-Gate Bipolar Transistor (IGBT) combined with the resonance circuit, large inductive voltages can be applied to RF antennas to allow rapid gas breakdown from 1-100 ?s. After initial breakdown, the same set of switches or an additional rf pulsed power systems are utilized to deliver large amount of rf power, between 10 kW to 10 MW, to the plasmas during the pulse duration of 10 ?s-10 ms. In addition, several methods and apparatus for controlling the pulse power delivery, timing gas and materials supply, constructing reactor and substrate structure, and operating pumping system and plasma activated reactive materials delivery system will be disclosed.
    Type: Application
    Filed: April 10, 2013
    Publication date: September 18, 2014
    Inventor: Jaeyoung Park
  • Publication number: 20140197136
    Abstract: A plasma reactor and method for improved gas injection for an inductive plasma source for dry strip plasma processing are disclosed. According to embodiments of the present disclosure, gas is fed into a plasma chamber through a gas injection channel located adjacent to the side wall of the plasma chamber, rather than from the center, so that the process gas enters the plasma chamber in a close proximity to the induction coil. In particular embodiments, the process gas that enters the chamber is forced to pass through a reactive volume or active region adjacent the induction coil where efficient heating of electrons occurs, providing increased efficiency of the reactor by improving process gas flow and confinement in the heating area.
    Type: Application
    Filed: July 30, 2012
    Publication date: July 17, 2014
    Inventors: Vladimir Nagorny, Charles Crapuchettes
  • Patent number: 8771538
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: July 8, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Jang-Gyoo Yang, Matthew Miller, Jay Pinson, Kien Chuc
  • Publication number: 20140175055
    Abstract: Systems, methods and apparatus for fabricating devices use an inductively-coupled plasma. An inductively coupled plasma system includes a reaction chamber including a reaction space and a coil chamber. The system includes a workpiece support within the reaction space. The system includes a first inductive coil section and a second inductive coil section, the first and second inductive coil sections being independently movable. At least one power source is coupled to the first and second inductive coil sections. The first and second inductive coil sections and the at least one power source are configured to induce an inductively coupled plasma (ICP) in the reaction space. An adjustment mechanism is configured to move the first inductive coil section relative to the second inductive coil section.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Applicant: QUALCOMM MEMS Technologies, Inc.
    Inventor: Teruo Sasagawa
  • Patent number: 8742665
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: June 3, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Jang-Gyoo Yang, Matthew Miller, Jay Pinson, Kien Chuc
  • Patent number: 8741160
    Abstract: Disclosed are a method for manufacturing a solar cell by processing a surface of a silicon substrate for a solar cell, a solar cell manufactured by the method, and a substrate processing system for performing the method. The method for manufacturing a solar cell comprises protrusion forming step including wet-etching process and for forming a plurality of minute protrusions on a light receiving surface of a crystalline silicon substrate, and planarization step of planarizing the bottom surface, the opposite surface to the light receiving surface of the substrate during or after the protrusion forming step.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventor: Byung-Jun Kim
  • Patent number: 8709270
    Abstract: A chamber for combinatorially processing a substrate is provided. The chamber includes a first mask and a second mask that share a common central axis. The first mask and the second mask are independently rotatable around the common central axis. The first mask has a first plurality of radial apertures and the second mask has a second plurality of radial apertures. An axis of the first plurality of radial apertures is offset from an axis of the second plurality of radial apertures. A substrate support that is operable to support a substrate below the first and second masks is included. The substrate support shares the common central axis.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: April 29, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Peter Satitpunwaycha
  • Patent number: 8685267
    Abstract: There is provided a substrate processing method capable of preventing the decrease in etching efficiency by positive ions and increasing the overall etching efficiency by using negative ions. The substrate processing method includes applying a plasma RF and a bias RF in the pattern of a pulse wave, respectively. The substrate processing method repeatedly performs the steps of: (3b) etching a substrate by positive ions in plasma by applying both the plasma RF and the bias RF; (3c) generating negative ions in a processing chamber by stopping the application of both the plasma RF and the bias RF; and (3a) attracting the negative ions to the substrate by applying the bias RF and stopping the application of the plasma RF. A duty ratio of the bias RF is set to be greater than a duty ratio of the plasma RF.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: April 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Hiromasa Mochiki