Using Coil To Generate The Plasma Patents (Class 216/68)
  • Patent number: 6217785
    Abstract: In an apparatus for producing an electromagnetically coupled planar plasma comprising a chamber having a dielectric shield in a wall thereof and a planar coil outside of said chamber and adjacent to said window coupled to a radio frequency source, the improvement whereby a scavenger for fluorine is mounted in or added to said chamber. When a silicon oxide is etched with a plasma of a fluorohydrocarbon gas, the fluorine scavenger reduces the free fluorine radicals, thereby improving the selectivity and anisotropy of etching and improving the etch rate while reducing particle formation.
    Type: Grant
    Filed: December 9, 1996
    Date of Patent: April 17, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Jeffrey Marks
  • Patent number: 6183655
    Abstract: A plasma etch process, particularly applicable to a self-aligned contact etch or other advanced structures requiring high-selectivity to nitride or other non-oxide materials and producing no etch stop. The process is preferably performed in a high-density plasma reactor for etching holes with either high or low aspect rations. In this process, hexafluoropropylene (C3F6) is the principal etching gas and another hydrofluorocarbon such as CH2F2 or C3H2F6 is added at least in part for its polymer-forming ability, which increases selectivity of etching oxide to nitride. The process gas also includes a substantial amount of an inactive gas such as argon. The process gas mixture can be balanced between the active etching gas and the polymer former in proportions to optimize selectivity over other materials without the occurrence of etch stop in narrow contact holes and with a wide process window.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: February 6, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ruiping Wang, Gerald Z. Yin, Robert W. Wu, Jian Ding
  • Patent number: 6174450
    Abstract: A plasma processing system includes a plasma reactor, a first power circuit, a second power circuit and a feedback circuit. The first power circuit supplies a first radio frequency (rf) energy to the plasma reactor that is suitable for creating a direct current bias on a workpiece positioned within a plasma chamber. The second power circuit supplies a second rf energy to the plasma reactor that is suitable for striking a plasma within the plasma chamber. The feedback circuit is coupled to control the first power circuit by detecting at least one parameter associated with the first rf energy and providing a feedback control signal to the first power circuit. The first power circuit adjusts the first rf energy so that a level of energy of the ionized particles within the plasma chamber is substantially controlled via the direct current bias created by the first rf energy.
    Type: Grant
    Filed: April 16, 1997
    Date of Patent: January 16, 2001
    Assignee: Lam Research Corporation
    Inventors: Roger Patrick, Norman Williams
  • Patent number: 6174451
    Abstract: An oxide etching process, particular useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. The invention uses one of three unsaturated 3- and 4-carbon fluorocarbons, specifically hexafluorobutadiene (C4F6), pentafluoropropylene (C3HF5), and trifluoropropyne (C3HF3), all of which have boiling points below 10° C. and are commercially available. The unsaturated hydrofluorocarbon together with argon is excited into a high-density plasma in a reactor which inductively couples plasma source power into the chamber and RF biases the pedestal electrode supporting the wafer. Preferably, a two-step etch is used process is used in which the above etching gas is used in the main step to provide a good vertical profile and a more strongly polymerizing fluorocarbon such as difluoromethane (CH2F2) is added in the over etch to protect the nitride corner.
    Type: Grant
    Filed: November 16, 1998
    Date of Patent: January 16, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Raymond Hung, Joseph P. Caulfield, Hongching Shan, Ruiping Wang, Gerald Z. Yin
  • Patent number: 6165311
    Abstract: The invention is embodied in an inductively coupled RF plasma reactor including a reactor chamber enclosure defining a plasma reactor chamber and a support for holding a workpiece inside the chamber, a non-planar inductive antenna adjacent the reactor chamber enclosure, the non-planar inductive antenna including inductive elements spatially distributed in a non-planar manner relative to a plane of the workpiece to compensate for a null in an RF inductive pattern of the antenna, and a plasma source RF power supply coupled to the non-planar inductive antenna. The planar inductive antenna may be symmetrical or non-symmetrical, although it preferably includes a solenoid winding such as a vertical stack of conductive windings. In a preferred embodiment, the windings are at a minimum radial distance from the axis of symmetry while in an alternative embodiment the windings are at a radial distance from the axis of symmetry which is a substantial fraction of a radius of the chamber.
    Type: Grant
    Filed: May 13, 1996
    Date of Patent: December 26, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Michael Rice, John Trow, Douglas Buchberger, Craig A. Roderick
  • Patent number: 6143129
    Abstract: A plasma reactor and methods for processing semiconductor wafers are described. Gases are introduced into a reactor chamber. An induction coil surrounds the reactor chamber. RF power is applied to the induction coil and is inductively coupled into the reactor chamber causing a plasma to form. A split Faraday shield is interposed between the induction coil and the reactor chamber to substantially block the capacitive coupling of energy into the reactor chamber which may modulate the plasma potential. The configuration of the split Faraday shield may be selected to control the level of modulation of the plasma potential. For etch processes, a separate powered electrode may be used to accelerate ions toward a wafer surface. For isotropic etching processes, charged particles may be filtered from the gas flow, while a neutral activated species passes unimpeded to a wafer surface.
    Type: Grant
    Filed: July 17, 1998
    Date of Patent: November 7, 2000
    Assignee: Mattson Technology, Inc.
    Inventors: Stephen E. Savas, Brad S. Mattson, Martin L. Hammond, Steven C. Selbrede
  • Patent number: 6143144
    Abstract: The invention for etching a substrate containing an oxide layer reduces activated oxygen within the plasma and maintains a high soft etch rate in a series of subsequent etches. In one aspect of the invention, a second substrate, in the form of a substrate ring, is utilized in the processing chamber and is etched in conjunction with a first substrate being processed. This substrate ring is formed of a material which, when etched, reacts with activated oxygen to form a stable oxygen-containing compound which may be evacuated from the system. In another aspect of the invention, a first power level for inductively coupling energy to the plasma is determined to establish a bias voltage level at the substrate of approximately 100 Volts. A second, lower power level is then determined for producing a bias voltage level at the substrate not significantly higher than 300 Volts.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: November 7, 2000
    Assignee: Tokyo ElectronLimited
    Inventors: Stephen N. Golovato, Johannes Westendorp
  • Patent number: 6136214
    Abstract: Because of environmental pollution prevention laws, PFC (perfluorocarbon) and HFC (hydrofluorocarbon), both etching gases for silicon oxide and silicon nitride films, are expected to be subjected to limited use or become difficult to obtain in the future. To accommodate such a problem, an etching gas containing fluorine atoms is introduced into a plasma chamber. In a region where plasma etching takes place, the fluorine-containing gas plasma is made to react with solid-state carbon in order to produce a molecular chemical species such as CF.sub.4, CF.sub.2, CF.sub.3 and C.sub.2 F.sub.4 for etching. This method assures a high etching rate and high selectivity while keeping a process window wide.
    Type: Grant
    Filed: April 25, 1997
    Date of Patent: October 24, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Masahito Mori, Shinichi Tachi, Kenetsu Yokogawa
  • Patent number: 6112696
    Abstract: A constriction in the exhaust side of a discharge chamber containing oxygen isolates the oxygen supply from the rest of the system. A constriction of equal size or larger is used in the supply of another gas, thereby enabling mixtures of oxygen and other gases to be used in a downstream plasma system. In one embodiment of the invention, the gases are dissociated separately and then combined in a mixing chamber. In another embodiment, oxygen is dissociated and then a lighter gas is added and the mixture is dissociated. In a preferred embodiment of the invention, the lighter gas is selected from the group consisting of water vapor and nitrogen.
    Type: Grant
    Filed: February 17, 1998
    Date of Patent: September 5, 2000
    Assignee: Dry Plasma Systems, Inc.
    Inventor: Georges J. Gorin
  • Patent number: 6105518
    Abstract: A method and apparatus for treating a work surface, wherein there is provided a chamber having a longitudinal axis and longitudinally extending electrically conductive sidewalls, at least one sidewall having at least one longitudinally extending gap that interrupts a current path through the sidewalls transverse to the longitudinal axis, and wherein the chamber is sealed to allow pressure inside the chamber to be controlled.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: August 22, 2000
    Assignee: Research Triangle Institute
    Inventors: Anthony E. Robson, Ronald A. Rudder, Robert C. Hendry, Moses M. David, James V. Burt
  • Patent number: 6096232
    Abstract: A dry etching system capable of suppressing the effect of reaction products generated in a reaction chamber during an etching process to the edge profile of an etch object such as a semiconductor wafer. This system includes a reaction chamber in which an etching action is performed, a plasma generator for generating plasma in the reaction chamber, a holder for holding an etch object in the reaction chamber, a detector for detecting the quantity of a reaction product contained in the plasma, and a controller for controlling the amount of the reaction products contained in the plasma to be at least one specific value. The etch object is etched by the action of etching species contained in the plasma. The detector detects, for example, the intensity of light emission from the plasma at a specific wavelength.
    Type: Grant
    Filed: June 13, 1997
    Date of Patent: August 1, 2000
    Assignee: NEC Corporation
    Inventor: Toshiki Hashimoto
  • Patent number: 6093457
    Abstract: A plasma processing method includes controlling a pressure of an interior of a vacuum chamber to a specified pressure by exhausting the interior of the vacuum chamber while supplying gas into the interior of vacuum chamber. While the pressure of the interior of the vacuum chamber is being controlled, high-frequency power is supplied to one end of a first conductor which is opened at another end, and which is configured as a vortex. Also, grounding one end of a second conductor which is opened at another end and which is configured as a vortex. Finally, electromagnetic waves from the first conductor and the second conductor radiate into the vacuum chamber, generating plasma in the vacuum chamber and processing a substrate placed on an electrode within the vacuum chamber.
    Type: Grant
    Filed: March 26, 1998
    Date of Patent: July 25, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tomohiro Okumura, Ichiro Nakayama
  • Patent number: 6090303
    Abstract: In an apparatus for producing an electromagnetically coupled planar plasma comprising a chamber having a dielectric shield in a wall thereof and a planar coil outside of said chamber and adjacent to said window coupled to a radio frequency source, the improvement whereby a scavenger for fluorine is mounted in or added to said chamber. When a silicon oxide is etched with a plasma of a fluorohydrocarbon gas, the fluorine scavenger reduces the free fluorine radicals, thereby improving the selectivity and anisotropy of etching and improving the etch rate while reducing particle formation.
    Type: Grant
    Filed: December 5, 1996
    Date of Patent: July 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Jeffrey Marks
  • Patent number: 6085688
    Abstract: The present invention provides an apparatus and method for processing a workpiece in an inductively coupled plasma reactor. Inductive power is applied to the reactor to generate a plasma. A magnetic field is generated within the plasma reactor having lines of force oriented perpendicular to the workpiece surface. It is a feature of the invention to control the electron temperature near the surface of the workpiece by controlling the applied magnetic field. It is a further feature to increase average ion density near the workpiece without otherwise causing damage to the workpiece due to uneven charge build-up. The applied magnetic field can be time invariant or time variant. In both cases, processing can be optimized by adjusting the magnitude of the magnetic field to a level just below where damage due to uneven charge build-up occurs. With the time variant field, the average ion density can be adjusted with respect to average electron temperature.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: July 11, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Dimitris Lymberopoulos, Peter Loewenhardt, John Yamartino
  • Patent number: 6074959
    Abstract: A plasma etch process, particularly applicable to a self-aligned contact etch or other advanced structures requiring high-selectivity to nitride or other non-oxide materials and no etch stop. The process is preferably performed in a high-density plasma reactor for etching holes with either high or low aspect rations. In this process, hexafluoropropane (C.sub.3 H.sub.2 F.sub.6) is the principal etching gas in the presence of a substantial amount of an inactive gas such as argon. The process can also be used with the closely related gases heptafluoropropane (C.sub.3 HF.sub.7) and pentafluoropropane (C.sub.3 H.sub.3 F.sub.5). The process may use one or more of the these gases in proportions to optimize selectivity over other materials without the occurrence of etch stop in narrow contact holes and with a wide process window. Difluoromethane (CH.sub.2 F.sub.2) or other fluorocarbons may be combined with the above gases for optimum selectivity for a design of a specific contact feature.
    Type: Grant
    Filed: November 5, 1997
    Date of Patent: June 13, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Ruiping Wang, Gerald Z. Yin, Robert W. Wu, Jian Ding
  • Patent number: 6072147
    Abstract: A plasma processing system capable of carrying out a uniform processing is provided.According to the present invention, a substantially annular high-frequency antenna 156 of a predetermined number of turns, e.g., 1 turn, is provided in an opening 102b via a first shielding member 160 and a dielectric member 158. The capacitance of a variable capacitor 172 connected to ground is adjusted so that series resonance occurs at the mid point of the high-frequency antenna 156. With this construction, it is possible to form a desired electric field in a plasma producing space to produce a high-density plasma. In addition, a feeding member 126 is formed so that the substantially vertical cross-section thereof has a profile expressed by an exponential function r=f(L). Therefore, it is possible to supply a high-frequency power to an upper electrode without causing the electric breakdown and the damping of the high-frequency power.
    Type: Grant
    Filed: December 2, 1997
    Date of Patent: June 6, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Jun Ooyabu
  • Patent number: 6067999
    Abstract: A method of cleaning a deposition tool to control and minimize emission of environmentally deleterious materials includes the steps of: a) establishing a predetermined temperature in a processing chamber; b) providing a mixture of between 15 and 25 percent nitrogen trifluoride in helium at a mixture flow rate of more than 550 standard cubic centimeters per minute (sccm); c) establishing a high pressure of 1.5 to 9.5 torr in the processing chamber; d) establishing a plasma in the processing chamber, e) establishing a low pressure in the processing chamber of 2 torr or less; and f) establishing a plasma in the processing chamber. Instead of a two-step cleaning method, the method may alternatively be executed as a one-step cleaning method. Either method may be optimized by, among other things, providing 19% nitrogen trifluoride. The two-step method may also be optimized by providing a high pressure of about 7 to 9.5 torr and a low pressure of about 1.5 torr.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: May 30, 2000
    Assignee: International Business Machines Corporation
    Inventors: Cynthia Marie Hines, James Nicholas Pinto
  • Patent number: 6068784
    Abstract: A domed plasma reactor chamber uses an antenna driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome. The antenna generates a high density, low energy plasma inside the chamber for etching metals, dielectrics and semiconductor materials. Auxiliary RF bias energy applied to the wafer support cathode controls the cathode sheath voltage and controls the ion energy independent of density. Various magnetic and voltage processing enhancement techniques are disclosed, along with etch processes, deposition processes and combined etch/deposition processed. The disclosed invention provides processing of sensitive devices without damage and without microloading, thus providing increased yields.
    Type: Grant
    Filed: April 1, 1993
    Date of Patent: May 30, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Craig A. Roderick, John R. Trow, Chan-Lon Yang, Jerry Yuen-Kui Wong, Jeffrey Marks, Peter R. Keswick, David W. Groechel, Jay D. Pinson, II, Tetsuya Ishikawa, Lawrence Chang-Lai Lei, Masato M. Toshima
  • Patent number: 6056848
    Abstract: A plasma reactor and methods for processing semiconductor substrates are described. An induction coil inductively couples power into the reactor to produce a plasma. A thin electrostatic shield is interposed between the induction coil and plasma to reduce capacitive coupling. The shield is electromagnetically thin such that inductive power passes through the shield to sustain the plasma while capacitive coupling is substantially attenuated. Reducing capacitive coupling reduces modulation of the plasma potential relative to the substrate and allows for more controllable processing.
    Type: Grant
    Filed: September 10, 1997
    Date of Patent: May 2, 2000
    Assignee: CTP, Inc.
    Inventor: Jean-Fran.cedilla.ois Daviet
  • Patent number: 6051151
    Abstract: An apparatus and method of producing a negative ion plasma for use in manufacturing of microelectronic devices, particularly etching of microelectronic patterns in semiconductor wafers. A negative ion plasma is produced from a hot electron plasma formed by a RF or UHF plasma source. The negative ion plasma includes positive ions, negative ions and relatively cold electrons, such electrons having an effective electron temperature or average energies less than that for maintaining the plasma. The fields producing the hot plasma are isolated from the negative ion plasma in a cold plasma region by a magnetic filter. The magnetic filter confines the plasmas to provide plasma uniformity at a work piece being etched by the negative ion plasma. The magnetic filter further prevents hot electrons originating in the hot electron plasma from diffusing into the negative ion plasma, while allowing positive ions and cold electrons to diffuse from the hot plasma to the negative ion plasma.
    Type: Grant
    Filed: November 12, 1997
    Date of Patent: April 18, 2000
    Assignee: International Business Machines Corporation
    Inventors: John H. Keller, Dennis K. Coultas
  • Patent number: 6048798
    Abstract: A plasma processing chamber includes a substrate holder and a gas distribution plate having an inner surface facing the substrate holder, the inner surface being maintained below a threshold temperature to minimize process drift during processing of substrates. The inner surface is cooled by adding a heat transfer gas such as helium to process gas supplied through the gas distribution plate. The chamber can include a dielectric window between an antenna and the gas distribution plate. The control of the temperature of the inner surface facing the substrate minimizes process drift and degradation of the quality of the processed substrates during sequential processing of the substrates such as during oxide etching of semiconductor wafers.
    Type: Grant
    Filed: June 5, 1996
    Date of Patent: April 11, 2000
    Assignee: Lam Research Corporation
    Inventors: Prashant Gadgil, Janet M. Flanner, John P. Jordon, Adrian Doe, Robert Chebi
  • Patent number: 6042687
    Abstract: A plasma processing system and method for processing substrates such as by chemical vapor deposition or etching. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a primary gas supply supplying a primary gas such as process gas into the chamber, a secondary gas supply supplying a secondary gas such as a substantially inert, a substrate passivating or a reactant scavenging gas into the chamber, and an RF energy source such as a planar coil which inductively couples RF energy through the dielectric member and into the chamber to energize the primary gas into a plasma state. The secondary gas is concentrated near the periphery of the substrate, improving etching/deposition uniformity across the substrate surface.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: March 28, 2000
    Assignee: Lam Research Corporation
    Inventors: Vikram Singh, Brian McMillin, Tom Ni, Michael Barnes, Richard Yang
  • Patent number: 6035868
    Abstract: A method and apparatus for controlling deposit build-up on an interior surface of a dielectric member of a plasma processing chamber. The deposit build-up is controlled by selective ion bombardment of the inner surface by shifting location of a peak voltage amplitude of a voltage standing wave on an antenna such as a flat spiral coil of the plasma processing chamber. A region of high ion bombardment on the interior surface of the dielectric member is displaced by controlling the value of a termination capacitance over a range of values causing regions of low and high ion bombardment to move over the dielectric member in order to effect cleaning thereof.
    Type: Grant
    Filed: March 31, 1997
    Date of Patent: March 14, 2000
    Assignee: Lam Research Corporation
    Inventors: William S. Kennedy, Albert J. Lamm, Thomas E. Wicker, Robert A. Maraschin
  • Patent number: 6036877
    Abstract: A general method of the invention is to provide a polymer-hardening precursor piece (such as silicon, carbon, silicon carbide or silicon nitride, but preferably silicon) within the reactor chamber during an etch process with a fluoro-carbon or fluoro-hydrocarbon gas, and to heat the polymer-hardening precursor piece above the polymerization temperature sufficiently to achieve a desired increase in oxide-to-silicon etch selectivity. Generally, this polymer-hardening precursor or silicon piece may be an integral part of the reactor chamber walls and/or ceiling or a separate, expendable and quickly removable piece, and the heating/cooling apparatus may be of any suitable type including apparatus which conductively or remotely heats the silicon piece.
    Type: Grant
    Filed: May 13, 1996
    Date of Patent: March 14, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Michael Rice, David W. Groechel, Gerald Zheyao Yin, Jon Mohn, Craig A. Roderick, Douglas Buchberger, Chan-Lon Yang, Yuen-Kui Wong, Jeffrey Marks, Peter Keswick
  • Patent number: 6036878
    Abstract: The present invention is embodied in a method of operating an inductively coupled plasma reactor for processing a semiconductor wafer, the reactor including a vacuum chamber for containing the wafer, a process gas source, a semiconductor window electrode facing an interior portion of the chamber, an inductive power radiator on an exterior side of the semiconductor window electrode, the inductive field having a skin depth generally decreasing with the frequency of the RF inductive field and with the density of the plasma in the chamber and generally increasing with the pressure inside the vacuum chamber, the inductive coupling of the RF field tending to approach extinguishment as the skin depth approaches the spacing between the wafer and the window electrode, a method for maintaining an intermediate plasma density inside the chamber without extinguishing the inductive coupling of the RF field, the method including operating the reactor at a selected flow rate of the process gas, a selected chamber pressure an
    Type: Grant
    Filed: February 13, 1998
    Date of Patent: March 14, 2000
    Assignee: Applied Materials, Inc.
    Inventor: Kenneth Collins
  • Patent number: 6033585
    Abstract: A plasma processing chamber includes a substrate holder, a gas distribution member, and a shield for preventing lightup of plasma in gas distribution holes in the gas distribution member. The chamber can include an RF energy source such as an RF antenna which inductively couples RF energy through the gas distribution member to energize process gas into a plasma state. The shield can be arranged to allow capacitive coupling of RF energy into the processing chamber for lightup of plasma in the processing chamber and/or ion bombardment of the exposed surface of the gas distribution member for cleaning thereof during processing of the substrate.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: March 7, 2000
    Assignee: Lam Research Corporation
    Inventors: Thomas E. Wicker, Albert J. Lamm, Vahid Vahedi
  • Patent number: 6027601
    Abstract: A plasma reactor has a reactor chamber for containing a semiconductor wafer to be processed and gas inlet apparatus for introducing an ionizable gas into the chamber, a variable frequency RF power source, an RF antenna near the chamber, the antenna connected to the RF power source for coupling RF power to the ionizable gas to produce a plasma therefrom, a power sensor connected to the antenna for sensing either (or both) transmitted power to the plasma or reflected power to said source, and a control circuit connected to a control input of the variable frequency RF power source and responsive to the power sensor for changing the frequency of the variable frequency RF power source so as to either increase the transmitted power or decrease the reflected power, so as to provide an accurate RF match instantly responsive to changes in plasma impedance.
    Type: Grant
    Filed: July 1, 1997
    Date of Patent: February 22, 2000
    Assignee: Applied Materials, Inc
    Inventor: Hiroji Hanawa
  • Patent number: 6027663
    Abstract: A method-of low-damage, anisotropic etching of substrates including mounting the substrate upon the anode in a DC plasma reactor and subjecting the substrate to a plasma of low-energy electrons and a species reactive with the substrate. An apparatus for conducting low-damage, anisotropic etching including a DC plasma reactor, a permeable wall hollow cold cathode, an anode, and means for mounting the substrate upon the anode.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: February 22, 2000
    Assignee: Georgia Tech Research Corporation
    Inventors: Kevin P. Martin, Harry P. Gillis, Dmitri A. Choutov
  • Patent number: 6022460
    Abstract: An enhanced inductively coupled plasma reactor which comprises; a chamber; a power supply for providing radio-frequencies necessary to generate plasma within the chamber; an antenna for producing electric fields and magnetic fields with a radio-frequency power from the power supply to generate plasma within the chamber; Helmholtz coils for shaking the plasma with intermittent modulation of a weak magnetic field to increase the density of the plasma and decrease the electron temperature and enhance the uniformity of the plasma, the Helmholtz coils consisting of two coils which are symmetrically arranged with a common axis, winding around the chamber at an upper position and a lower position, respectively, the weak magnetic field being produced by providing a combination of a direct current and an alternating current to the Helmholtz coils; a wafer stage and support; a bias RF power supply for controlling ion energies, connected to the wafer stage; and a matching box for optimally controlling and transferring t
    Type: Grant
    Filed: March 22, 1999
    Date of Patent: February 8, 2000
    Assignee: Inha University Foundation
    Inventors: Beam-Hoan O, Se-Geun Park, Jae Seong Jeong, Chul ho Kim
  • Patent number: 6017825
    Abstract: A method in a plasma processing system having a top electrode and a bottom electrode for etching through a portion of a selected layer of a layer stack of a wafer. The method includes the step of etching at least partially through the selected layer while providing a first radio frequency (RF) signal having a first RF frequency to the top electrode. The method further includes the step of providing a second RF signal having a second RF frequency lower than the first RF frequency to the bottom electrode.
    Type: Grant
    Filed: March 29, 1996
    Date of Patent: January 25, 2000
    Assignee: Lam Research Corporation
    Inventors: Sung Ho Kim, David R-Chen Liu
  • Patent number: 6013155
    Abstract: A plasma processing system for plasma processing of substrates such as semiconductor wafers. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a gas supply comprising one or more injector tubes extending rectilinearly in the plasma processing chamber and having one or more orifices in a sidewall for supplying gas into the chamber, and an RF energy source such as a planar coil which inductively couples RF energy through the dielectric member and into the chamber to energize the process gas into a plasma state. The gas is supplied through orifices located outside of regions at the distal tip of the injector tubes where electric field lines are concentrated.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: January 11, 2000
    Assignee: LAM Research Corporation
    Inventors: Brian McMillin, Huong Nguyen, Michael Barnes, Tom Ni
  • Patent number: 6009830
    Abstract: A plasma etch reactor having independent gas feeds above the wafer and either at the sides or below the wafer. Preferably, a carrier gas such as argon is supplied from a showerhead electrode above the wafer while an etching gas is supplied from below. In the case of selectively etching an oxide over a non-oxide layer, the etchant gas should include one or more fluorocarbons.
    Type: Grant
    Filed: November 21, 1997
    Date of Patent: January 4, 2000
    Assignee: Applied Materials Inc.
    Inventors: Haojiang Li, Robert W. Wu
  • Patent number: 6008132
    Abstract: A wafer having an interlayer insulating film on a silicon substrate and an Al alloy layer on the interlayer insulating film coated with a resist pattern is introduced into an etching chamber where the Al alloy layer is selectively etched in etchant gas plasma. A main etching process is performed under the etching conditions of a high plasma density until the interlayer insulating film 12 is exposed, and a succeeding over etching process is performed under the etching conditions of a low plasma density. A dry etching method and system is provided which can suppress generation of an abnormal shape or notch of a wiring pattern etched in low pressure and high density plasma, without sacrificing etching selectivity and with productivity being maintained high.
    Type: Grant
    Filed: October 25, 1996
    Date of Patent: December 28, 1999
    Assignee: Yamaha Corporation
    Inventor: Suguru Tabara
  • Patent number: 5993678
    Abstract: A device, and a method for using the device, for altering the surface of a substrate with a plasma includes a vessel having a chamber, a magnet and a plasma generator. Both the generator and the magnet are positioned outside the vessel while the substrate to be altered is placed in the chamber. The magnetic field is established substantially parallel to the substrate surface that is to be altered to insulate the plasma from the substrate surface. Also, a radio frequency wave is propagated from the generator into the chamber to generate the plasma in chamber which alters the surface. Specifically, the plasma is generated in ionization zones located between the substrate surface and the vessel walls. A region in the chamber is thus defined between the ionization zones where the plasma is established with substantially uniform density. Additionally, electrodes can be placed to voltage bias directly or capacitively the plasma for ion etching or deposition on the substrate surface.
    Type: Grant
    Filed: July 31, 1996
    Date of Patent: November 30, 1999
    Assignee: Toyo Technologies Inc.
    Inventor: Tihiro Ohkawa
  • Patent number: 5990017
    Abstract: A general method of the invention is to provide a polymer-hardening precursor piece (such as silicon, carbon, silicon carbide or silicon nitride, but preferably silicon) within the reactor chamber during an etch process with a fluoro-carbon or fluoro-hydrocarbon gas, and to heat the polymer-hardening precursor piece above the polymerization temperature sufficiently to achieve a desired increase in oxide-to-silicon etch selectivity. Generally, this polymer-hardening precursor or silicon piece may be an integral part of the reactor chamber walls and/or ceiling or a separate, expendable and quickly removable piece, and the heating/cooling apparatus may be of any suitable type including apparatus which conductively or remotely heats the silicon piece.
    Type: Grant
    Filed: June 25, 1998
    Date of Patent: November 23, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Collins, Michael Rice, David Groechel, Gerald Yin, Jon Mohn, Craig Roderick, Douglas Buchberger, Chan-Lon Yang, Jerry Wong, Jeffrey Marks, Peter Keswick
  • Patent number: 5983828
    Abstract: Apparatus and method for an improved etch process. A power source alternates between high and low power cycles to produce and sustain a plasma discharge. Preferably, the high power cycles couple sufficient power into the plasma to produce a high density of ions (>10.sup.11 cm.sup.-3) for etching. Preferably, the low power cycles allow electrons to cool off to reduce the average random (thermal) electron velocity in the plasma. Preferably, the low power cycle is limited in duration as necessary to prevent excessive plasma loss to the walls or due to recombination of negative and positive ions. It is an advantage of these and other aspects of the present invention that average electron thermal velocity is reduced, so fewer electrons overcome the plasma sheath and accumulate on substrate or mask layer surfaces. A separate power source alternates between high and low power cycles to accelerate ions toward the substrate being etched. In one embodiment, a strong bias is applied to the substrate in short bursts.
    Type: Grant
    Filed: October 8, 1996
    Date of Patent: November 16, 1999
    Assignee: Mattson Technology, Inc.
    Inventor: Stephen E. Savas
  • Patent number: 5965034
    Abstract: A process for fabricating a product including the steps of subjecting a substrate to a composition of entities, at least one of the entities emanating from a species generated by a plasma excited by a high frequency field provided by an inductive coupling structure in which the phase and anti-phase capacitive currents into the plasma are substantially balanced.
    Type: Grant
    Filed: October 28, 1996
    Date of Patent: October 12, 1999
    Assignee: MC Electronics Co., Ltd.
    Inventors: Georgy Vinogradov, Shimao Yoneyama
  • Patent number: 5961850
    Abstract: A plasma processing apparatus and method controls the temperature of those portions in the processing chamber to which reaction products or gaseous reaction products generated during plasma processing adhere, thereby minimizing the generation of foreign matter and ensuring high yields. A plasma processing gas is supplied to the plasma generation chamber 10 whose pressure is maintained at a predetermined value. Provided in the plasma generation chamber are a specimen mount 11 on which to mount an object to be processed and an evacuation mechanism 16 that evacuates the plasma generation chamber.
    Type: Grant
    Filed: March 14, 1996
    Date of Patent: October 5, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Yoshiaki Satou, Tadamitsu Kanekiyo, katsuyoshi Kudo
  • Patent number: 5948704
    Abstract: A vacuum processing chamber having a substrate support removably mounted therein. The chamber includes an opening in a sidewall thereof and the opening is large enough to allow the substrate support to be removed from the chamber through the opening. A modular mounting arrangement extends through the opening and removably supports the substrate support in the interior of the chamber at a position located inwardly of an inner sidewall of the chamber. The mounting arrangement includes a mounting flange and a support arm. The mounting flange is attached to an exterior surface of the chamber and the support arm extends between the substrate support and the mounting flange. The chamber includes a single vacuum port in a central portion of an endwall of the chamber spaced from the substrate support. The vacuum port is connected to a vacuum pump which removes gases from the interior of the chamber and maintains the chamber at a pressure below atmospheric pressure.
    Type: Grant
    Filed: June 5, 1996
    Date of Patent: September 7, 1999
    Assignee: LAM Research Corporation
    Inventors: Neil Benjamin, Jon Hylbert, Stefano Mangano
  • Patent number: 5916454
    Abstract: A method for increasing mean time between cleans (MTBC) for a plasma processing chamber configured for running a process. The method includes specifying a minimum roughness specification for a finish of a surface of a chamber interior part configured for use within the plasma processing chamber. The minimum roughness specification is selected to promote adhesion of byproduct particles produced by the process to the surface. The method further includes specifying a maximum roughness specification for a finish of a surface of a chamber interior part. The maximum roughness specification is selected to facilitate ease of cleaning of the byproduct particles using a wet clean process. The method also includes having the chamber interior part manufactured in accordance with the minimum roughness specification and the maximum roughness specification.
    Type: Grant
    Filed: August 30, 1996
    Date of Patent: June 29, 1999
    Assignee: Lam Research Corporation
    Inventors: Brett C. Richardson, Merrill D. Crapse, Philip Tuley
  • Patent number: 5916820
    Abstract: A thin film forming method for forming a thin film on a surface of a substrate having a stepped portion due to a difference in level, includes steps of performing first thin film deposition with plasma generated in a processing chamber by applying high-frequency voltages to electrodes, performing thin film shaping with plasma generated in the processing chamber by applying a high-frequency voltage to a coil, and performing second thin film deposition with plasma generated in the processing chamber by applying high-frequency voltages to the electrodes.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: June 29, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Yuichiro Yamada, Naoki Suzuki
  • Patent number: 5916455
    Abstract: A low pressure plasma ignition method and apparatus includes an ignition cylinder which passes through an anode of a vacuum chamber, where the outlet of the ignition cylinder forms a nozzle. A coil is arranged around the cylinder and a plasma-generating gas supply pipe passes through an upper part of the cylinder. A plasma-generating gas, such as Argon gas, is supplied to the ignition cylinder in this structure, such that a high density plasma is formed in the ignition cylinder that is expelled into the vacuum chamber while the pressure is reduced through the nozzle. In the vacuum chamber, the expelled plasma becomes a seed plasma, such that a low pressure plasma is readily generated in the vacuum chamber.
    Type: Grant
    Filed: July 8, 1996
    Date of Patent: June 29, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Hiromi Kumagai
  • Patent number: 5904571
    Abstract: An apparatus and method in a plasma processing chamber for reducing charging of a wafer is described. A plasma generating element is configured to cause a plasma including ions and free radicals to be formed in a plasma generating region. A plasma diffusion region is configured so that plasma generated in the plasma generating region can diffuse through the plasma diffusion region. A conductive grid is positioned within the plasma diffusion region between the wafer and the plasma generating region. The conductive grid includes a mesh which is configured to trap a portion of the ions so that a portion of the ions are prevented from diffusing through the diffusion region to reach the wafer.
    Type: Grant
    Filed: June 28, 1996
    Date of Patent: May 18, 1999
    Assignee: Lam Research Corp.
    Inventors: Roger Patrick, Phillip L. Jones, Kambiz Fallahpour, Yun-Yen Yang, Wen-Ben Chou
  • Patent number: 5897712
    Abstract: The present invention reduces those portions of the RF induction field over areas of the wafer experiencing higher etch or deposition rates than those experienced elsewhere on the wafer. Such a controlled reduction of those portions of the RF induction field whose attenuation results in reducing non-uniformity in the etch or deposition rate distribution is obtained by incorporating a plasma uniformity control apparatus into the inductively coupled plasma reactor. The incorporated plasma uniformity control apparatus for controlling the RF induction field produced by the antenna includes one or more conductive bodies which are disposed adjacent to one or more of the radiating elements of the antenna.
    Type: Grant
    Filed: July 16, 1996
    Date of Patent: April 27, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Hiroji Hanawa, Peter K Loewenhardt, Timothy D. Driscoll, Gerald Zheyao Yin
  • Patent number: 5891349
    Abstract: A plasma enhanced CVD apparatus includes a processing chamber, a pumping system for evacuating the processing chamber, a gas inlet system for introducing a source gas, and a plasma generating electrode provided in the processing chamber for depositing a film on a substrate in the processing chamber by plasma generated by electrical power supplied to the plasma generating electrode; the plasma generating electrode has two terminals, one of the terminals is connected to a radio frequency power source and other of the terminals is grounded through an electrode potential controlling system; and the processing chamber is grounded through an inner wall potential controlling system. The present invention is further directed to a plasma enhanced CVD process, a dry etching apparatus, and a dry etch process.
    Type: Grant
    Filed: October 3, 1996
    Date of Patent: April 6, 1999
    Assignee: Anelva Corporation
    Inventors: Ryoki Tobe, Masao Sasaki, Atsushi Sekiguchi, Ken-ichi Takagi
  • Patent number: 5891348
    Abstract: An apparatus (20) for uniformly processing substrates (25) having a surface with a center (80) and a peripheral edge (85). The apparatus (20) comprises (i) a process chamber (30) having a gas distributor (55) for distributing process gas in the process chamber (30); (ii) a support (75) for supporting a substrate (25) in the process chamber (30); (iii) a plasma generator for forming a plasma from the process gas in the process chamber (30); and (iv) a focus ring (90) in the process chamber (30). The focus ring (90) comprises (a) a wall (95) surrounding the substrate (25) to substantially contain the plasma on the substrate surface, and (b) a channel (100) in the wall (95). The channel (100) has an inlet (105) adjacent to, and extending substantially continuously around the peripheral edge (85) of the substrate surface.
    Type: Grant
    Filed: January 26, 1996
    Date of Patent: April 6, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Yan Ye, Gerald Zheyao Yin, Diana Xiaobing Ma, Steve S. Y. Mak
  • Patent number: 5888413
    Abstract: In a plasma processing method, a substrate is processed by placing the substrate on an electrode in a vacuum chamber, introducing a gas into the vacuum chamber while discharging gas from inside vacuum chamber, applying a high frequency voltage to a spiral discharge coil while keeping the vacuum chamber internally at a pressure to generate a plasma inside the vacuum chamber. At least one of the control parameters of gas type, gas flow rate, pressure, magnitudes of high frequency powers applied to the coil and the electrode, and their high frequency power frequencies is varied while the substrate is processed. The method includes a step of allowing a plasma density in-plane distribution to be controlled in accordance with the timing of varying any of the control parameters.
    Type: Grant
    Filed: May 30, 1996
    Date of Patent: March 30, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Yoshihiro Yanagi
  • Patent number: 5888414
    Abstract: A plasma reactor chamber uses an antenna driven by RF energy (LF, MF, or VHF) which is inductively coupled inside the reactor dome. The antenna generates a high density, low energy plasma inside the chamber for etching oxygen-containing layers overlying non-oxygen-containing layers with high selectivity. Auxiliary RF bias energy applied to the wafer support cathode controls the cathode sheath voltage and controls the ion energy independent of density. Various magnetic and voltage processing enhancement techniques are disclosed, along with other etch processes, deposition processes and combined etch/deposition processes. The disclosed invention provides processing of sensitive devices without damage and without microloading, thus providing increased yields. Etching of an oxygen-containing layer overlying a non-oxygen-containing layer can be achieved with high selectivity.
    Type: Grant
    Filed: September 24, 1997
    Date of Patent: March 30, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Chan-Lon Yang, Jerry Yuen-Kui Wong, Jeffrey Marks, Peter R. Keswick, David W. Groechel
  • Patent number: 5882538
    Abstract: A method of low-damage, anisotropic etching of substrates including mounting the substrate upon the anode in a DC plasma reactor and subjecting the substrate to a plasma of low-energy electrons and a species reactive with the substrate. An apparatus for conducting low-damage, anisotropic etching including a DC plasma reactor, a permeable wall hollow cold cathode, an anode, and means for mounting the substrate upon the anode.
    Type: Grant
    Filed: August 28, 1996
    Date of Patent: March 16, 1999
    Assignee: Georgia Tech Research Corporation
    Inventors: Kevin P. Martin, Harry P. Gillis, Dmitri A. Choutov
  • Patent number: 5879575
    Abstract: A method for simultaneously processing a workpiece using a plasma and cleaning the reactor in which processing takes place is disclosed. The plasma generated in the reactor performs simultaneous workpiece processing and reactor cleaning. Reactor cleaning may be accomplished by directing a portion of the plasma at an inner surface of the reactor such as by a power source auxiliary to that used to produce the processing plasma. An apparatus for carrying out a method for simultaneously processing a workpiece with a plasma and cleaning a reactor of etch residues generated from processing is disclosed.
    Type: Grant
    Filed: November 21, 1997
    Date of Patent: March 9, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Yan Ye