Magnetically Enhancing The Plasma Patents (Class 216/70)
  • Patent number: 11261083
    Abstract: The present disclosure relates to a microelectromechanical systems (MEMS) package featuring a flat plate having a raised edge around its perimeter serving as an anti-stiction device, and an associated method of formation. A CMOS IC is provided having a dielectric structure surrounding a plurality of conductive interconnect layers disposed over a CMOS substrate. A MEMS IC is bonded to the dielectric structure such that it forms a cavity with a lowered central portion the dielectric structure, and the MEMS IC includes a movable mass that is arranged within the cavity. The CMOS IC includes an anti-stiction plate disposed under the movable mass. The anti-stiction plate is made of a conductive material and has a raised edge surrounding at least a part of a perimeter of a substantially planar upper surface.
    Type: Grant
    Filed: January 2, 2020
    Date of Patent: March 1, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lee-Chuan Tseng, Chang-Ming Wu
  • Patent number: 9039910
    Abstract: The present invention provides methods and an apparatus for controlling and modifying line width roughness (LWR) of a photoresist layer. In one embodiment, an apparatus for controlling a line width roughness of a photoresist layer disposed on a substrate includes a chamber body having a top wall, side wall and a bottom wall defining an interior processing region, a microwave power generator coupled to the to the chamber body through a waveguild, and one or more coils or magnets disposed around an outer circumference of the chamber body adjacent to the waveguide, and a gas source coupled to the waveguide through a gas delivery passageway.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: May 26, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Banqiu Wu, Ajay Kumar
  • Patent number: 8884526
    Abstract: In some embodiments, the present disclosure relates to a plasma processing system that generates a magnetic field having a maximum strength that is independent of workpiece size. The plasma processing system has a plurality of side electromagnets that have a size which is independent of the workpiece size. The side electromagnets are located around a perimeter of a processing chamber configured to house a semiconductor workpiece. When a current is provided to the side electromagnets, separate magnetic fields emanate from separate positions around the workpiece. The separate magnetic fields contribute to the formation of an overall magnetic field that controls the distribution of plasma within the processing chamber. Because the size of the plurality of separate side magnets is independent of the workpiece size, the plurality of side magnets can generate a magnetic field having a maximum field strength that is independent of workpiece size.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: November 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bo-Hung Lin, Ming-Chih Tsai, Chia-Ho Chen, Chung-En Kao
  • Patent number: 8759227
    Abstract: A method for processing a target object includes arranging a first electrode and a second electrode for supporting the target object in parallel to each other in a processing chamber and processing the target object supported by the second electrode by using a plasma of a processing gas supplied into the processing chamber, the plasma being generated between the first electrode and the second electrode by applying a high frequency power between the first electrode and the second electrode. The target object includes an organic film and a photoresist layer formed on the organic film. The processing gas contains H2 gas, and the organic film is etched by a plasma containing H2 by using the photoresist layer as a mask while applying a negative DC voltage to the first electrode.
    Type: Grant
    Filed: September 7, 2010
    Date of Patent: June 24, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kazuki Narishige, Kazuo Shigeta
  • Patent number: 8709270
    Abstract: A chamber for combinatorially processing a substrate is provided. The chamber includes a first mask and a second mask that share a common central axis. The first mask and the second mask are independently rotatable around the common central axis. The first mask has a first plurality of radial apertures and the second mask has a second plurality of radial apertures. An axis of the first plurality of radial apertures is offset from an axis of the second plurality of radial apertures. A substrate support that is operable to support a substrate below the first and second masks is included. The substrate support shares the common central axis.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: April 29, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Peter Satitpunwaycha
  • Patent number: 8580689
    Abstract: The present invention provides a dry etching method capable of readily providing rounded top edge portions, called top rounds, at trenches and vias formed by removal of a dummy material. The method of the present invention is a dry etching method for forming trenches or vias by removing a dummy material with its periphery surrounded by an interlayer oxide film, which method includes the steps of etching the dummy material to a predetermined depth, performing isotropic etching after the dummy material etching, and removing remaining part of the dummy material after the isotropic etching.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: November 12, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tomoyoshi Ichimaru, Kenichi Kuwabara, Go Saito
  • Patent number: 8480807
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 9, 2013
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderziek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Patent number: 8475672
    Abstract: The present invention provides a plasma processing device and a plasma processing method that can easily adjust plasma density distribution while making the plasma density uniform, and a method of manufacturing an element including a substrate to be processed. In an embodiment of the present invention, the inside of a vacuum vessel (1) is divided by a grid (4) having communication holes into a plasma generation chamber (2) and a plasma processing chamber (5). On the upper wall (26) of the plasma generation chamber (2), magnetic coils (12) are arranged such that magnetic field lines within the vacuum vessel (1) point from the center of the vacuum vessel (1) to a side wall (27), and, outside the side wall (27) of the plasma generation chamber (2), ring-shaped permanent magnets (13) are arranged such that a polarity pointing to the inside of the vacuum vessel (1) is a north pole and a polarity pointing to the outside of the vacuum vessel (1) is a south pole.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: July 2, 2013
    Assignee: Canon Anelva Corporation
    Inventors: Kazuyuki Iori, Yukito Nakagawa
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8465658
    Abstract: In a method of forming a main pole, an initial accommodation layer is etched by RIE using a first etching mask having a first opening, whereby a groove is formed in the initial accommodation layer. Next, a part of the initial accommodation layer including the groove is etched by RIE using a second etching mask having a second opening, so that the groove becomes an accommodation part. The main pole is then formed in the accommodation part. The first etching mask has first and second sidewalls that face the first opening and are opposed to each other at a first distance in a track width direction. The second etching mask has third and fourth sidewalls that face the second opening and are opposed to each other at a second distance greater than the first distance.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: June 18, 2013
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Kazuki Sato, Shigeki Tanemura, Yukinori Ikegawa
  • Patent number: 8444870
    Abstract: A method and apparatus are provided for processing a substrate with a radiofrequency inductive plasma in the manufacture of a device. The inductive plasma is maintained with an inductive plasma applicator having one or more inductive coupling elements. There are thin windows between the inductive coupling elements and the interior of the processing chamber. Various embodiments have magnetic flux concentrators in the inductive coupling elements and feed gas holes interspersed among the inductive coupling elements. The thin windows, magnetic flux concentrators, and interspersed feed gas holes are useful to effectuate uniform processing, high power transfer efficiency, and a high degree of coupling between the applicator and plasma. In some embodiments, capacitive current is suppressed using balanced voltage to power an inductive coupling element.
    Type: Grant
    Filed: May 23, 2009
    Date of Patent: May 21, 2013
    Assignee: Mattson Technology, Inc.
    Inventor: Valery Godyak
  • Patent number: 8298381
    Abstract: A vacuum process for etching a metal strip running over a backing roll facing a counterelectrode by magnetron sputtering, and a vacuum chamber etching installation implementing the process. A plasma is created in a gas close to the metal strip so as to generate radicals and/or ions that act on the strip, and at least one closed magnetic circuit, the width of which is approximately equal to that of the metal strip, is selected from a series of at least two closed magnetic circuits of different and fixed widths, then the selected magnetic circuit is positioned so as to face the metal strip, and then the etching of the moving metal strip is carried out.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: October 30, 2012
    Assignee: Arcelormittal France
    Inventors: Hugues Cornil, Benoit Deweer, Claude Maboge, Jacques Mottoulle
  • Patent number: 8282850
    Abstract: An apparatus for controlling a plasma etching process includes plasma control structure that can vary a size of a plasma flow passage, vary a speed of plasma flowing through the plasma flow passage, vary plasma concentration flowing through the plasma flow passage, or a combination thereof.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: October 9, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Ming Chang, Chi-Lun Lu
  • Patent number: 8222156
    Abstract: Methods and arrangements for controlling the electron loss to the upper electrode, including techniques and apparatus for biasing the upper electrode more negatively to allow charged species to be trapped within the plasma chamber for a longer period of time, thereby increasing the plasma density may be increased. The induced RF signal on the upper electrode is rectified, thus biasing the upper electrode more negatively. The rectified RF signal may also be amplified, thus driving the upper electrode even more negatively, if desired.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: July 17, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajindra Dhindsa, Hudson Eric, Alexei Marakhtanov, Andreas Fischer
  • Publication number: 20120103939
    Abstract: The present invention provides methods and an apparatus for controlling and modifying line width roughness (LWR) of a photoresist layer. In one embodiment, an apparatus for controlling a line width roughness of a photoresist layer disposed on a substrate includes a chamber body having a top wall, side wall and a bottom wall defining an interior processing region, a microwave power generator coupled to the to the chamber body through a waveguild, and one or more coils or magnets disposed around an outer circumference of the chamber body adjacent to the waveguide, and a gas source coupled to the waveguide through a gas delivery passageway.
    Type: Application
    Filed: October 19, 2011
    Publication date: May 3, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Banqiu Wu, Ajay Kumar
  • Patent number: 8138096
    Abstract: In a plasma etching method, a substrate including an underlying film, an insulating film and a resist mask is plasma etched to thereby form a number of holes in the insulating film including a dense region and a sparse region by using a parallel plate plasma etching apparatus for applying a plasma-generating high frequency electric power to a space between an upper and a lower electrode and a biasing high frequency electric power to the lower electrode. The plasma etching method includes mounting the substrate on a mounting table; supplying a first process gas containing carbon and fluorine to form the holes in the insulating film to a depth close to the underlying film; and supplying a second process gas including an inert gas and another gas contain carbon and fluorine to have the holes reach the underlying film while applying a negative DC voltage to the upper electrode.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryoichi Yoshida
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Patent number: 8048328
    Abstract: Methods for rotating a magnetic field in a process chamber is provided herein. In one embodiment, a method for rotating a magnetic field in a process chamber includes forming a magnetic field having a primary shape; changing the primary shape to at least two sequential transitional shapes; and changing the transitional shape to a rotated primary shape. Optionally, the magnetic field may be maintained at an approximately constant magnitude throughout each step. Optionally, a maximum of one current applied to one or more magnetic field producing coils is equal to zero or has its polarity reversed between any two adjacent steps.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: November 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Roger A. Lindley, Scott A. Hogenson, Daniel J. Hoffman
  • Patent number: 8048329
    Abstract: A method for minimizing microwave leakage into processing chamber of a microwave plasma system is provided. The method includes securing plasma traps to a plasma tube assembly, which is a cylindrical structure positioned upstream from the processing chamber and has a plasma-sustaining region. The plasma traps are electrically conductive disks surrounding the cylindrical structure and are positioned upstream from the processing chamber. The plasma traps include at least two electrically conductive disks. Each electrically conductive disk includes corrugated outer surfaces with plurality of corrugated peaks. The corrugated outer surface of the first electrically conductive disk is facing a corrugated outer surface of the second electrically conductive disk in a space-apart relationship to form an interstitial region between the electrically conductive disks.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: November 1, 2011
    Assignee: Lam Research Corporation
    Inventors: Mohammad Kamarehi, Ing-Yann Albert Wang
  • Patent number: 8003000
    Abstract: A plasma processing method includes providing a substrate in a processing chamber, the substrate having a surface, and generating a plasma in the processing chamber. The plasma provides at least two regions that exhibit different plasma densities. The method includes exposing at least some of the surface to both of the at least two regions. Exposing the surface to both of the at least two regions may include rotating the plasma and may cyclically expose the surface to the plasma density differences. Exposing to both of the at least two regions may modify a composition and/or structure of the surface. The plasma may include a plasmoid characterized by a steady state plasma wave providing multiple plasma density lobes uniformly distributed about an axis of symmetry and providing plasma between the lobes exhibiting lower plasma densities. Depositing the layer can include ALD and exposure may remove an ALD precursor ligand.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 23, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Neal R. Rueger
  • Patent number: 7989034
    Abstract: A method for continuous atmospheric plasma treatment of an electrically insulating workpiece. The workpiece is arranged at a distance beneath at least one high-voltage electrode which extends across a direction of movement. The electrode and the workpiece are set in motion relative to one another. The high voltage being applied to the high-voltage electrode, preferably is in the form of an AC voltage. A first space situated between the high-voltage electrode and the workpiece is filled with a first atmosphere and a second space on the side of the workpiece facing away from the high-voltage electrode is filled with a second atmosphere that is different from the first atmosphere. The second space is adjacent to a back side of the workpiece. The choice of high voltage and of the first and second atmospheres is made in such a way that a plasma discharge is ignited in the second atmosphere.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: August 2, 2011
    Assignee: Softal Corona & Plasma GmbH
    Inventors: Eckhard Prinz, Peter Palm, Frank Forster
  • Patent number: 7975646
    Abstract: Device for depositing a coating on an internal surface of a container, of the type in which the deposition is carried out by means of a low-pressure plasma created inside the container by excitation of a precursor gas by microwave-type electromagnetic waves.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: July 12, 2011
    Assignee: Sidel Participations
    Inventors: Jean-Michel Rius, Nicolas Chomel, Yves-Alban Duclos
  • Patent number: 7955515
    Abstract: A method of plasma etching transition metal oxide thin films using carbon monoxide as the primary source gas. This permits carbonyl chemistries to be used at ambient temperature, without heating.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: June 7, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Usha Raghuram, Michael W. Konevecki
  • Publication number: 20110079582
    Abstract: An object of the invention is to provide a plasma generating device and method for generating plasma through electrodeless discharge within a long tubule and carrying out a plasma process on the inside of the long tubule. The plasma generating device has a container 1 for containing a long tubule 9, the internal pressure of which can be adjusted, a magnetic field applying means 8 for applying a magnetic field in at least part of the long tubule, and a microwave supplying means 2 for emitting microwaves into the container, and is characterized in that plasma is generated within the long tubule by emitting microwaves into the container in such a state that a magnetic field is applied in at least part of the long tubule.
    Type: Application
    Filed: March 31, 2009
    Publication date: April 7, 2011
    Inventors: Akira Yonesu, Nobuya Hayashi
  • Patent number: 7897516
    Abstract: Methods for resputtering and plasma etching include an operation of generating an ultra-high density plasma using an ultra-high magnetic field. For example, a plasma density of at least about 1013 electrons/cm3 is achieved by confining a plasma using a magnetic field of at least about 1 Tesla. The ultra-high density plasma is used to create a high flux of low energy ions at the wafer surface. The formed high density low energy plasma can be used to sputter etch a diffusion barrier or a seed layer material in the presence of an exposed low-k dielectric layer. For example, a diffusion barrier material can be etched with a high etch rate to deposition rate (E/D) ratio (e.g., with E/D>2) without substantially damaging an exposed dielectric layer. Resputtering and plasma etching can be performed, for example, in iPVD and in plasma pre-clean tools, equipped with magnets configured for confining a plasma.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: March 1, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Ronald L. Kinder, Anshu A. Pradhan
  • Patent number: 7883633
    Abstract: Methods for rotating a magnetic field in a process chamber is provided herein. In one embodiment, a method for rotating a magnetic field in a process chamber includes forming a magnetic field having a primary shape; changing the primary shape to at least two sequential transitional shapes; and changing the transitional shape to a rotated primary shape. Optionally, the magnetic field may be maintained at an approximately constant magnitude throughout each step. Optionally, a maximum of one current applied to one or more magnetic field producing coils is equal to zero or has its polarity reversed between any two adjacent steps.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: February 8, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Roger A. Lindley, Scott A. Hogenson, Daniel J. Hoffman
  • Publication number: 20100089871
    Abstract: Provided is a plasma processing apparatus including a processing vessel accommodating a target object; a microwave generator configured to generate a microwave; a waveguide configured to induce the microwave to the processing vessel; a planar antenna having a plurality of microwave radiation holes through which the microwave induced to the waveguide is radiated toward the processing vessel; a microwave transmission plate configured to serve as a ceiling wall of the processing vessel and transmit the microwave passed from the microwave radiation holes of the planar antenna; a processing gas inlet unit configured to introduce a processing gas into the processing vessel; and a magnetic field generating unit positioned above the planar antenna and configured to generate a magnetic field within the processing vessel and control a property of plasma of the processing gas by the magnetic field, the plasma being generated by the microwave within the processing vessel.
    Type: Application
    Filed: February 26, 2008
    Publication date: April 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Yasuhiro Tobe
  • Patent number: 7569154
    Abstract: A plasma processing method in which plasma can be ignited stably with a low radio frequency power and a low gas pressure even after long time operation by applying a DC voltage of ?0.5 kV, for example, from a DC power supply (118) to a lower electrode (104) before a radio frequency power is applied from a radio frequency power supply (114) to the lower electrode (104) through a matching unit 112 when the surface of a wafer W mounted on the lower electrode (104) disposed in a processing container (102) is subjected to a specified plasma processing with plasma of a processing gas formed by applying a radio frequency power to the processing gas introduced into the airtight processing container (102).
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: August 4, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Gondai
  • Patent number: 7432209
    Abstract: A plasma etch process with in-situ backside polymer removal begins with a workpiece having a porous or non-porous carbon-doped silicon oxide dielectric layer and a photoresist mask on a surface of the workpiece. The workpiece is clamped onto an electrostatic chuck in an etch reactor chamber. The process includes introducing a fluoro-carbon based process gas and applying RF bias power to the electrostatic chuck and RF source power to an overhead electrode to etch exposed portions of the dielectric layer while depositing protective fluoro-carbon polymer on the photoresist mask. The process further includes removing the fluoro-carbon based process gas and introducing a hydrogen-based process gas and applying RF source power to the overhead electrode.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Gerardo A. Delgadino, Richard Hagborg, Douglas A. Buchberger, Jr.
  • Patent number: 7341922
    Abstract: When etching is performed with respect to a silicon-containing material by using a dry etching apparatus having a dual power source, the application of bias power is initiated before oxidization proceeds at a surface of the silicon-containing material. Specifically, the application of the bias power is initiated before the application of source power is initiated. Alternatively, the source power and the bias power are applied such that the effective value of the source power reaches a second predetermined value after the effective value of the bias power reaches a first predetermined value.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: March 11, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takeshi Yamashita, Takao Yamaguchi, Hideo Niko
  • Patent number: 7291559
    Abstract: In a method of manufacturing a semiconductor device, a dummy sample and an actual device are prepared. The dummy sample and the actual device have substantially an identical layer and an identical resist pattern formed on the layer. Then, a dummy discharge is carried out. The layer and the resist pattern of the dummy sample are etched in an etching device so that the layer and the resist pattern of the dummy device are simultaneously slimmed. Finally, the layer and the resist pattern of the actual device are etched in the etching device after the etching of the dummy sample so that the layer and the resist pattern of the actual device are simultaneously slimmed.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: November 6, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Akira Takahashi
  • Patent number: 7256134
    Abstract: The present invention includes a process for selectively etching a low-k dielectric material formed on a substrate using a plasma of a gas mixture in a plasma etch chamber. The gas mixture comprises a fluorine-rich fluorocarbon or hydrofluorocarbon gas, a nitrogen-containing gas, and one or more additive gases, such as a hydrogen-rich hydrofluorocarbon gas, an inert gas and/or a carbon-oxygen gas. The process provides a low-k dielectric to a photoresist mask etching selectivity ratio greater than about 5:1, a low-k dielectric to a barrier/liner layer etching selectivity ratio greater about 10:1, and a low-k dielectric etch rate higher than about 4000 ?/min.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: August 14, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yunsang Kim, Neungho Shin, Heeyeop Chae, Joey Chiu, Yan Ye, Fang Tian, Xiaoye Zhao
  • Patent number: 7232762
    Abstract: A method of forming contact openings in a semiconductor device including providing a semiconducting substrate; forming an etch stop layer on said semiconducting substrate; forming a dielectric layer on said etch stop layer; forming a bottom anti-reflective coating (BARC) on said dielectric layer; forming and patterning a mask on said BARC layer; and, forming at least a first contact opening exposing said etch stop layer by a first etching process.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: June 19, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Der Chang, Yu-Ching Chang, Chien-Chih Chou, Yi-Tung Yen
  • Patent number: 7170027
    Abstract: A microwave plasma processing method is provided which enables a uniform thin film layer to be formed on a surface to be processed and which enables a short time processing. In the microwave plasma processing method, microwaves are introduced into a plasma processing chamber 1, and a processing gas is transformed into plasma to form a thin film layer on a base substance 13 disposed in the plasma processing chamber 13, and the method comprises: fixing the base substance 13 coaxially with a central axis of the plasma processing chamber 1; setting a standing wave mode of the microwaves in the plasma processing chamber to a TE mode or a TEM mode from a mouth portion 131 to a body portion 133 of the base substance; and setting a mode having both the TE mode and a TM mode in a bottom portion 132 of the base substance.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: January 30, 2007
    Assignee: Toyo Seikan Kaisha Ltd.
    Inventors: Hideo Kurashima, Akira Kobayashi, Kouji Yamada, Tsunehisa Namiki
  • Patent number: 7048869
    Abstract: In an oxide film etching process, a plasma having a suitable ratio of CF3, CF2, CF, F is necessary, and there is a problem in that the etching characteristic fluctuates with a temperature fluctuation of the etching chamber. Using a UHF type ECR plasma etching apparatus having a low electron temperature, a suitable dissociation can be obtained, and by maintaining the temperature of a side wall from 10° C. and 120° C., a stable etching characteristic can be obtained. Since oxide film etching using a low electron temperature and a high density plasma can be obtained, an etching result having a superior characteristic can be obtained, and, also, since the side wall temperature adjustment range is low, a simplified apparatus structure and a heat resistant performance countermeasure can be obtained easily.
    Type: Grant
    Filed: October 8, 1999
    Date of Patent: May 23, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Kazue Takahashi, Toshio Masuda, Tetsunori Kaji, Ken'etsu Yokogawa
  • Patent number: 7033514
    Abstract: This invention relates to a method and apparatus for forming a micromachined device, where a workpiece is plasma etched to define a microstructure. The plasma etching is conducted in the presence of a magnetic field, which can be generated and manipulated by an electric field. The magnetic field effects the electrons present in the plasma by directing them to “collect” on a desired plane or surface of the workpiece. The electrons attract the ions of the plasma to etch the desired region of the a workpiece to a greater extent than other regions of the workpiece, thereby enabling the formation of more precise “cuts” in the workpiece to form specific shapes of microstructures. The magnetic field can be controlled in direction and intensity and substrate bias power can also be controlled during etching to precisely and accurately etch the workpiece.
    Type: Grant
    Filed: August 27, 2001
    Date of Patent: April 25, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Neal Rueger
  • Patent number: 7001698
    Abstract: A chromium-containing half-tone phase-shift photomask comprising coarse and dense patterns coexisting in a plane is prepared by a series of pattern-forming steps including forming a resist layer on a photomask blank, exposing and patterning said resist layer, developing, etching said photomask blank and removing said resist layer. Patterns for transferring onto a wafer are formed on the photomask blank by a dry-etching method comprising dry-etching a chromium-containing half-tone phase-shift film utilizing etching gas comprised of mixed gas including (a) reactive ion etching gas, containing an oxygen-containing gas and a halogen-containing gas, and (b) reducing gas added to the gas component (a).
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: February 21, 2006
    Assignees: Ulvac Coating Corporation, Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takaei Sasaki, Noriyuki Harashima, Satoshi Aoyama, Shouichi Sakamoto
  • Patent number: 6899817
    Abstract: A method and a suitable device for carrying out this method is proposed, for etching a substrate (10), especially a silicon element, with the aid of an inductively coupled plasma (14). For this purpose, a high frequency electromagnetic alternating field is generated, which produces an inductively coupled plasma (14) from reactive particles in a reactor (15). In this connection, the inductively coupled plasma (14) comes about by the action of the high frequency electromagnetic alternating field upon a reactive gas. Furthermore, a device, in particular a magnetic field coil (21) is provided which produces a static or timewise varying magnetic field between the substrate (10) and the ICP source (13). For this, the magnetic field is oriented in such a way that its direction is at least approximately or predominantly parallel to the direction defined by the line connecting the substrate (10) and the inductively coupled plasma (14).
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: May 31, 2005
    Assignee: Robert Bosch GmbH
    Inventors: Volker Becker, Franz Laermer, Andrea Schilp
  • Patent number: 6809019
    Abstract: A method for producing a semiconductor structure includes applying at least one first layer, etching the first layer using a masking layer such that fences are produced, and, after removal of the masking layer and application of an auxiliary layer, the auxiliary layer and the fences are removed jointly except for a predetermined extent of the auxiliary layer. The present invention also relates to use of the method for producing spacers in a semiconductor structure.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: October 26, 2004
    Assignee: Infineon Technologies AG
    Inventors: Walter Hartner, Matthias Krönke
  • Publication number: 20040094509
    Abstract: In a magnetic field generator for magnetron plasma generation which comprises a dipole-ring magnet with a plurality of columnar anisotropic segment magnets arranged in a ring-like manner, or in an etching apparatus and a method both of which utilize the magnetic field generator, the uniformity of plasma treatment over the entire surface of a wafer (workpiece) is improved by controlling the direction of the magnetic field relative to the working surface of the wafer (workpiece) which is subject to plasma treatment such as etching.
    Type: Application
    Filed: June 20, 2003
    Publication date: May 20, 2004
    Inventors: Koji Miyata, Jun Hirose, Akira Kodashima, Shigeki Tozawa, Kazuhiro Kubota, Yuki Chiba
  • Patent number: 6673722
    Abstract: An improved chemical vapor deposition or etching is shown in which cyclotron resonance and photo or plasma CVD cooperate to deposit a layer with high performance at a high deposition speed. The high deposition speed is attributed to the cyclotron resonance while the high performance is attributed to the CVDs.
    Type: Grant
    Filed: May 9, 1997
    Date of Patent: January 6, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6652763
    Abstract: A method and apparatus for the polishing of diamond surfaces, wherein the diamond surface is subjected to plasma-enhanced chemical etching using an atomic oxygen polishing plasma source, are disclosed. In the apparatus, a magnetic filter passes a plume of high-density, low-energy, atomic oxygen plasma. The plasma is capable of uniformly polishing diamond surfaces.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: November 25, 2003
    Assignee: HRL Laboratories, LLC
    Inventors: Ronghua Wei, Daniel J. Gregoire
  • Patent number: 6573190
    Abstract: A dry etching apparatus and method which can uniformly and stably generate a high-density plasma over a wide range, and can cope with increase of wafer diameter and making the pattern finer in etch processing of the fine pattern of a semiconductor device. The apparatus and method enables a magnitude of a magnetic field to be cyclically modulated when a substrate to be treated is etch processed. The cyclical modulation may be effected by cyclically modulating a coil current flowing to a solenoid coil.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: June 3, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masaru Izawa, Shinichi Tachi, Kenetsu Yokogawa, Nobuyuki Negishi, Naoyuki Kofuji
  • Patent number: 6561198
    Abstract: In this method for the surface treatment of a metal part (12) for the purpose of deoxidizing it and/or cleaning it, a sealed chamber (16), in which the part to be treated is placed, is filled with a low-pressure reducing gas mixture, a static magnetic field is created in a region of the chamber (16) separate from the region in which the part (12) to be treated is placed and the gas mixture is excited by means of an electromagnetic wave injected into the chamber (16) so as to generate a treatment plasma in the gas, the intensity of the static magnetic field corresponding to electron cyclotron resonance established in the chamber in a distributed manner.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 13, 2003
    Assignee: L'Air Liquide Societe Anonyme a Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Bernard Drevillon, Nicolas Bertrand, Jean-Christophe Rostaing
  • Patent number: 6531069
    Abstract: RIE processing chambers includes arrangements of gas outlets which force gas-flow-shadow elimination. Means are provided to control and adjust the direction of gases to the outlet to modify and control the direction of plasma flow at the wafer surface during processing. Means are provided to either move the exhaust paths for exhaust gases or to open and close exhaust paths sequentially, in a controlled manner, to modify flow directions of ions in the etching plasma. A combination of rotation/oscillation of a magnetic field imposed on the RIE chamber can be employed by rotation of permanent magnetic dipoles about the periphery of the RIE chamber or by controlling current through a coil wrapped around the periphery of the RIE process chamber to enhance the removal of the residues attributable to gas-flow-shadows formed by linear ion paths in the plasma.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Kamalesh K. Srivastava, Peter C. Wade, William H. Brearley, Jonathan H. Griffith
  • Patent number: 6527968
    Abstract: A process for etching a substrate 25 in an etching chamber 105, and simultaneously removing etch residue deposited on the surfaces of the walls 110 and components of the etching chamber 105. In one version, a two-stage method of opening a nitride mask layer on the substrate includes a first stage of providing a highly chemically reactive process gas in the chamber 105 to etch the nitride layer 32 and/or an underlying oxide layer 34, and a second stage of providing a less chemically reactive process gas in the chamber to etch the nitride layer 32 and/or the oxide layer 34 at a slower rate than the first stage. The first and second stage process gases may each comprise a fluorine containing gas, with the fluorine ratio of the first gas higher than the fluorine ratio of the second gas.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Patent number: 6526996
    Abstract: A dry cleaning method for use in semiconductor fabrication, including the following steps. An etched metallization structure is provided and placed in a processing chamber. The etched metallization structure is cleaned by introducing a fluorine containing gas/oxygen containing gas mixture into the processing chamber proximate the etched metallization structure without the use of a downstream microwave while applying a magnetic field proximate the etched metallization structure and maintaining a pressure of less than about 50 millitorr within the processing chamber for a predetermined time.
    Type: Grant
    Filed: June 12, 2000
    Date of Patent: March 4, 2003
    Assignee: ProMos Technologies, Inc.
    Inventors: Hong-Long Chang, Ming-Li Kung, Hungyueh Lu, Fang-Fei Liu
  • Patent number: 6506686
    Abstract: In a plasma processing apparatus that has a vacuum chamber, a process gas supply means of supply gas to a processing chamber, an electrode to hold a sample inside said vacuum chamber, a plasma generator installed in said vacuum chamber opposite to said sample, and a vacuum exhaust system to decrease pressure of said vacuum chamber, a bias voltage of Vdc=−300 to −50 V is applied and the surface temperature of said plate ranges from 100 to 200° C. In addition, the surface temperature fluctuation of the silicon-made plate in said plasma processing apparatus is kept within ±25° C.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: January 14, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Toshio Masuda, Kazue Takahashi, Ryoji Fukuyama, Tomoyuki Tamura
  • Patent number: 6506687
    Abstract: A technique of dry etching the surface of a wafer by using a dry etching apparatus in which the distance between a wafer and a surface facing the wafer is set to the half or less of the diameter of the wafer is disclosed. Even in the case of using, especially, a wafer having a large diameter, the incident amount of etching reaction by-products in the peripheral portion of the wafer and that in the center portion of the wafer are uniformed. Thus, a uniform etching process over the whole surface of the wafer can be realized.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: January 14, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Masaru Izawa, Shinichi Tachi
  • Patent number: RE39895
    Abstract: To realize etching with a high selection ratio and a high accuracy in fabrication of an LSI, the composition of dissociated species of a reaction gas is accurately controlled when dry-etching a thin film on a semiconductor substrate by causing an inert gas excited to a metastable state in a plasma and a flon gas to interact with each other and selectively obtaining desired dissociated species.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: October 23, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Takafumi Tokunaga, Sadayuki Okudaira, Tatsumi Mizutani, Kazutami Tago, Hideyuki Kazumi, Ken Yoshioka