Using Microwave To Generate The Plasma Patents (Class 216/69)
  • Patent number: 11670492
    Abstract: Exemplary processing methods may include forming a plasma of a cleaning precursor in a remote region of a semiconductor processing chamber. The methods may include flowing plasma effluents of the cleaning precursor into a processing region of the semiconductor processing chamber. The methods may include contacting a substrate support with the plasma effluents for a first period of time. The methods may include lowering the substrate support from a first position to a second position while continuing to flow plasma effluents of the cleaning precursor. The methods may include cleaning the processing region of the semiconductor processing chamber for a second period of time.
    Type: Grant
    Filed: October 15, 2020
    Date of Patent: June 6, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Fei Wu, Abdul Aziz Khaja, Sungwon Ha, Ganesh Balasubramanian, Vinay Prabhakar
  • Patent number: 11658011
    Abstract: A plasma processing method in which a stable process region can be ensured in a wide range, from low microwave power to high microwave power. The plasma processing method includes making production of plasma easy in a region in which production of plasma by continuous discharge is difficult, and plasma-processing an object to be processed, with the generated plasma, wherein the plasma is produced by pulsed discharge in which ON and OFF are repeated, radio-frequency power for producing the pulsed discharge, during an ON period, is a power to facilitate production of plasma by continuous discharge, and a duty ratio of the pulsed discharge is controlled so that an average power of the radio-frequency power per cycle is power in the region in which production of plasma by continuous discharge is difficult.
    Type: Grant
    Filed: January 22, 2020
    Date of Patent: May 23, 2023
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yoshiharu Inoue, Tetsuo Ono, Michikazu Morimoto, Masaki Fujii, Masakazu Miyaji
  • Patent number: 11404245
    Abstract: Embodiments described herein relate to plasma processes. A plasma process includes generating a plasma containing negatively charged oxygen ions. A substrate is exposed to the plasma. The substrate is disposed on a pedestal while being exposed to the plasma. While exposing the substrate to the plasma, a negative direct current (DC) bias voltage is applied to the pedestal to repel the negatively charged oxygen ions from the substrate.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: August 2, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Sheng-Liang Pan, Bing-Hung Chen, Chia-Yang Hung, Jyu-Horng Shieh, Shu-Huei Suen, Syun-Ming Jang, Jack Kuo-Ping Kuo
  • Patent number: 10679826
    Abstract: A microwave control method is used in a microwave plasma processing apparatus including a microwave generation unit, a waveguide for guiding a microwave generated by the microwave generation unit, a tuner for controlling a position of a movable short-circuiting plate, and a stub provided between the tuner and an antenna in the waveguide and insertable into an inner space of the waveguide. The method includes detecting the position of the movable short-circuiting plate controlled by the tuner for the microwave outputted by the microwave generation unit, determining whether or not a difference between a reference position and the detected position of the movable short-circuiting plate is within a tolerable range, and controlling an insertion length of the stub into the inner space of the waveguide when it is determined that the difference between the position of the movable short-circuiting plate and the reference position is not within the tolerable range.
    Type: Grant
    Filed: July 11, 2017
    Date of Patent: June 9, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Jun Yoshikawa, Naoki Matsumoto, Kazushi Kaneko
  • Patent number: 9978566
    Abstract: Disclosed is a plasma etching method which is performed using a plasma processing apparatus that is a capacitively coupled plasma processing apparatus, and includes: a processing container; a gas supply unit that supply an etching processing gas into the processing container; a placing table including a lower electrode; an upper electrode provided above the placing table; and a plurality of electromagnets including a plurality of coils, or a plurality of electromagnets each including a coil, on the upper electrode. The plasma etching method includes generating plasma of the processing gas to perform a plasma etching on a single film of a workpiece placed on the placing table; and controlling a current supplied to the plurality of electromagnet to change a distribution of an etching rate of the single film in the diametric direction with respect to the central axis during the generating of the plasma of the processing gas.
    Type: Grant
    Filed: October 7, 2016
    Date of Patent: May 22, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akihiro Yokota, Shinji Himori, Tatsuro Ohshita, Shu Kusano, Etsuji Ito, Kazuya Nagaseki
  • Patent number: 9653320
    Abstract: Embodiments of the present disclosure provide methods for patterning a hardmask layer disposed on a metal layer, such as a copper layer, to form an interconnection structure in semiconductor devices. In one embodiment, a method of patterning a hardmask layer on a metal layer disposed on a substrate includes supplying a first etching gas mixture comprising a carbon-fluorine containing gas and a chlorine containing gas into a processing chamber to etch a portion of a hardmask layer disposed on a metal layer formed on a substrate, supplying a second etching gas mixture comprising a hydrocarbon gas into the processing chamber to clean the substrate, and supplying a third etching gas mixture comprising a carbon-fluorine containing gas to remove a remaining portion of the hardmask layer until a surface of the metal layer is exposed.
    Type: Grant
    Filed: September 24, 2014
    Date of Patent: May 16, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sumit Agarwal, Chiu-pien Kuo, Shang-Ting Hsieh, Guochuan Hong
  • Patent number: 9637838
    Abstract: Disclosed herein are methods of manufacturing synthetic CVD diamond material including orienting and controlling process gas flow in a microwave plasma reactor to improve performance. The microwave plasma reactor includes a gas flow system with a gas inlet comprising one or more gas inlet nozzles disposed opposite the growth surface area and configured to inject process gases towards the growth surface area. The method comprises injecting process gases towards the growth surface area at a total gas flow rate equal to or greater than 500 standard cm3 per minute wherein the process gases are injected into the plasma chamber through the one or more gas inlet nozzles with a Reynolds number in a range 1 to 100.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: May 2, 2017
    Assignee: Element Six Limited
    Inventors: Steven Edward Coe, Jonathan James Wilman, Helen Wilman, Daniel James Twitchen, Geoffrey Alan Scarsbrook, John Robert Brandon, Christopher John Howard Wort, Matthew Lee Markham
  • Patent number: 9177756
    Abstract: A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes an electrode disposed within the processing chamber separate from the substrate support. The system also includes a power supply electrically connected to the electrode. The power supply is defined to supply electrical power to the electrode so as to liberate electrons from the electrode into the processing chamber.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: November 3, 2015
    Assignee: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Jun Shinagawa, Akira Koshiishi
  • Patent number: 9111727
    Abstract: The invention provides a plurality of resonator subsystems. The resonator subsystems can comprise one or more resonant cavities configured to couple electromagnetic (EM) energy in a desired EM wave mode to plasma by generating resonant microwave energy in a resonant cavity adjacent the plasma. The resonator subsystem can be coupled to a process chamber using one or more interface subsystems and can comprise one or more resonant cavities, and each resonant cavity can have a plurality of plasma tuning rods coupled thereto. Some of the plasma tuning rods can be configured to couple the EM-energy from one or more of the resonant cavities to the process space within the process chamber.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: August 18, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihiko Iwao, Peter L.G. Ventzek
  • Patent number: 9023227
    Abstract: Embodiments described herein generally relate to a substrate processing system and related methods, such as an etching/deposition method. The method comprises (A) depositing a protective layer on a first layer disposed on a substrate in an etch reactor, wherein a plasma source power of 4,500 Watts or greater is applied while depositing the protective layer, (B) etching the protective layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the protective layer, and (C) etching the first layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the first layer, wherein a time for the depositing a protective layer (A) comprises less than 30% of a total cycle time for the depositing a protective layer (A), the etching the protective layer (B), and the etching the first layer (C).
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jivko Dinev, Saravjeet Singh, Khalid M. Sirajuddin, Tong Liu, Puneet Bajaj, Rohit Mishra, Sonal A. Srivastava, Madhava Rao Yalamanchili, Ajay Kumar
  • Patent number: 8968588
    Abstract: A surface wave plasma (SWP) source couples pulsed microwave (MW) energy into a processing chamber through, for example, a radial line slot antenna, to result in a low mean electron energy (Te). To prevent impingement of the microwave energy onto the surface of a substrate when plasma density is low between pulses, an ICP source, such as a helical inductive source, a planar RF coil, or other inductively coupled source, is provided between the SWP source and the substrate to produce plasma that is opaque to microwave energy. The ICP source can also be pulsed in synchronism with the pulsing of the MW plasma in phase with the ramping up of the MW pulses. The ICP also adds an edge dense distribution of plasma to a generally chamber centric MW plasma to improve plasma uniformity.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Vincent M. Donnelly, Demetre J. Economou, Merritt Funk, Radha Sundararajan
  • Patent number: 8889023
    Abstract: A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naoki Matsumoto, Wataru Yoshikawa, Yasuhiro Seo, Kazuyuki Kato
  • Patent number: 8883024
    Abstract: The invention provide apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDƒ) data and associated (VUV/EEDƒ)-related procedures in (VUV/EEDƒ) etch systems. The (VUV/EEDƒ)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDƒ)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Patent number: 8877080
    Abstract: The invention provides an apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDf) data and associated (VUV/EEDf)-related procedures in (VUV/EEDf) etch systems. The (VUV/EEDf)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDf)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Patent number: 8871102
    Abstract: A method for fabricating a structure in magnetic recording head is described. First and second hard mask layers are provided on the layer(s) for the structure. A BARC layer and photoresist mask having a pattern are provided on the second hard mask layer. The pattern includes a line corresponding to the structure. The pattern is transferred to the BARC layer and the second hard mask layer in a single etch using an etch chemistry. At least the second hard mask layer is trimmed using substantially the same first etch chemistry. A mask including a hard mask line corresponding to the line and less than thirty nanometers wide is thus formed. The pattern of the second hard mask is transferred to the first hard mask layer. The pattern of the first hard mask layer is transferred to the layer(s) such that the structure has substantially the width.
    Type: Grant
    Filed: May 25, 2011
    Date of Patent: October 28, 2014
    Assignee: Western Digital (Fremont), LLC
    Inventor: Wei Gao
  • Publication number: 20140251955
    Abstract: A microwave waveguide apparatus for generating plasma includes a waveguide which has first and second ends and propagates microwave from input end such that the microwave propagates from the first end to the second end, a circulator device having a first port, a second port coupled to the first end, and a third port coupled to the second end, the circulator device being structured such that the microwave is received at the first port, propagates from the second port to the first end, is received at the third port from the second end and is returned toward the input end, and a matching device which is interposed between the input end and the circulator device and reflects part of the microwave received at the third port and returned toward the input end to the first port. The waveguide has a slot-hole extending along the microwave propagation direction in the waveguide.
    Type: Application
    Filed: January 21, 2014
    Publication date: September 11, 2014
    Applicants: NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY, TOKYO ELECTRON LIMITED
    Inventors: Hitoshi Itoh, Yusuke Kubota, Hirotaka Toyoda, Masaru Hori
  • Patent number: 8821743
    Abstract: The disclosure relates to a method for making a grating. The method includes the following steps. First, a substrate is provided. Second, a patterned mask layer is formed on a surface of the substrate. Third, the substrate with the patterned mask layer is placed in a microwave plasma system. Fourth, a plurality of etching gases are guided into the microwave plasma system simultaneously to etch the substrate through three stages. The etching gas includes carbon tetrafluoride (CF4), argon (Ar2), and sulfur hexafluoride (SF6). Finally, the patterned mask layer is removed.
    Type: Grant
    Filed: October 23, 2012
    Date of Patent: September 2, 2014
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Li-Hui Zhang, Mo Chen, Shou-Shan Fan
  • Patent number: 8753527
    Abstract: A plasma etching method uses a plasma etching apparatus including a process chamber, a susceptor, a microwave supplying portion, a gas supplying portion, an evacuation apparatus, a bias electric power supplying portion that supplies alternating bias electric power to the susceptor, and a bias electric power control portion that controls the alternating bias electric power, wherein the bias electric power control portion controls the alternating bias electric power so that supplying and disconnecting the alternating bias electric power to the susceptor are alternately repeated to allow a ratio of a time period of supplying the alternating bias electric power with respect to a total time period of supplying the alternating bias electric power and disconnecting the alternating bias electric power to be 0.1 or more and 0.5 or less.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: June 17, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Tetsuya Nishizuka, Masahiko Takahashi, Toshihisa Ozu
  • Patent number: 8747682
    Abstract: According to one embodiment, a pattern formation method is disclosed. The method includes forming a plurality of regions on a foundation and the plurality of the regions correspond to different pattern sizes. The method includes separating each of a plurality of block copolymers from another one of the plurality of the block copolymers and segregating the each of the plurality of the block copolymers into a corresponding one of the regions. The method includes performing a phase separation of the each of the block copolymers of each of the regions. The method includes selectively removing a designated phase of each of the phase-separated block copolymers to form a pattern of the each of the block copolymers and the pattern has a different pattern size for the each of the regions.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: June 10, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Matsunaga, Tomoya Oori, Eishi Shiobara, Yukiko Sato, Yoshihisa Kawamura
  • Publication number: 20140151334
    Abstract: A method for processing carbon nanotubes includes positioning in a treatment chamber of a carbon nanotube processing apparatus a substrate having multiple carbon nanotubes bundled together and oriented substantially perpendicular to a surface of the substrate, and introducing a microwave into the treatment chamber from a planar antenna having multiple microwave radiation holes such that plasma of an etching gas is generated and that the plasma etches the carbon nanotubes starting from one end of the carbon nanotubes bundled together.
    Type: Application
    Filed: February 10, 2014
    Publication date: June 5, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Takashi MATSUMOTO, Osayuki Akiyama
  • Publication number: 20140124478
    Abstract: The present disclosure provides a plasma processing apparatus, including: a processing chamber; an oscillator configured to output high-frequency power; a power supply unit configured to supply the high-frequency power from a specific plasma generating location into the processing chamber; a magnetic field forming unit provided outside the processing chamber and configured to forming a magnetic field at least at the specific plasma generating location; and a control unit configured to control the magnetic field formed by the magnetic field forming unit such that a relationship between an electron collision frequency fe of plasma generated in the processing chamber and a cyclotron frequency fc is fc>fe.
    Type: Application
    Filed: November 5, 2013
    Publication date: May 8, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun YOSHIKAWA, Yoshio SUSA, Naoki MATSUMOTO, Peter L. G. VENTZEK
  • Patent number: 8652342
    Abstract: A semiconductor fabrication apparatus and a method of fabricating a semiconductor device using the same performs semiconductor etching and deposition processes at an edge of a semiconductor substrate after disposing the semiconductor substrate at a predetermined place in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus has lower, middle and upper electrodes sequentially stacked. The semiconductor substrate is disposed on the middle electrode. Semiconductor etching and deposition processes are performed on the semiconductor substrate in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus forms electrical fields along an edge of the middle electrode during performance of the semiconductor etching and deposition processes.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: February 18, 2014
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Kyung-Woo Lee, Jin-Sung Kim, Joo-Byoung Yoon, Yeong-Cheol Lee, Sang-Jun Park, Hee-Kyeong Jeon
  • Patent number: 8641916
    Abstract: A plasma etching method for forming a hole in an etching target film by a plasma processing apparatus is provided. The apparatus includes an RF power supply for applying RF power for plasma generation to at least one of upper and lower electrodes, and a DC power supply for applying minus DC voltage to the upper electrode. A first condition that plasma is generated by turning on the RF power supply and minus DC voltage is applied to the upper electrode and a second condition that the plasma is extinguished by turning off the RF power supply and minus DC voltage is applied to the upper electrode are alternately repeated. Etching is performed by positive ions in the plasma under the first condition and negative ions are supplied into the hole by the DC voltage to neutralize positive ions in the hole under the second condition.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: February 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Yoshinobu Ooya, Shin Okamoto, Hiromasa Mochiki
  • Publication number: 20140008326
    Abstract: A plasma generation device has a microwave generation device which generates microwave, a waveguide tube having hollow interior and connected to the microwave device such that the tube has longitudinal direction in transmission direction of microwave and rectangular cross section in direction orthogonal to the transmission direction, a phase-shifting device which cyclically shifts phase of standing wave generated in the tube by microwave, and a gas supply device which supplies processing gas into the tube. The tube has antenna portion having one or more slot holes which release plasma generated by microwave to the outside, the slot hole is formed on wall forming short or long side of the antenna portion, and the tube plasmatizes the gas in atmospheric pressure state supplied into the tube by the microwave in the slot hole and releases the plasma to the outside from the slot hole.
    Type: Application
    Filed: September 10, 2013
    Publication date: January 9, 2014
    Applicants: NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY, TOKYO ELECTRON LIMITED
    Inventors: Hirotaka TOYODA, Masaru Hori, Makoto Sekine, Keigo Takeda, Hidenori Miyoshi, Hitoshi Itoh, Yusuke Kubota
  • Patent number: 8591755
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Publication number: 20130306599
    Abstract: A radical etching apparatus comprising a vacuum chamber for a substrate to be treated; a pipe pathway, connected to the vacuum chamber, a zone for generating plasma and with a gas introduction device through which N2 and at least one of H2 and NH3 can be introduced; a microwave applying microwaves to the interior of the pipe pathway; a gas introducer as a source of supply for F, between the vacuum chamber and the zone; and a shower plate. A method comprises introducing N2 and at least one of H2 gas and NH3 into a pipe pathway and applying microwaves. The gas mixture is decomposed by the plasma forming decomposition products as active species which react with F during transportation to a the vacuum chamber to make radicals. An SiO2 layer on a the substrate etched in the vacuum chamber, by irradiating the substrate with the radicals through a the shower plate.
    Type: Application
    Filed: February 1, 2012
    Publication date: November 21, 2013
    Applicant: ULVAC, INC.
    Inventors: Hiroaki Inoue, Yasushi Higuchi, Michio Ishikawa
  • Publication number: 20130270997
    Abstract: A surface wave plasma (SWP) source couples microwave (MW) energy into a processing chamber through, for example, a radial line slot antenna, to result in a low mean electron energy (Te). An ICP source, is provided between the SWP source and the substrate and is energized at a low power, less than 100 watts for 300 mm wafers, for example, at about 25 watts. The ICP source couples energy through a peripheral electric dipole coil to reduce capacitive coupling.
    Type: Application
    Filed: March 14, 2013
    Publication date: October 17, 2013
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Radha Sundararajan
  • Publication number: 20130256272
    Abstract: A surface wave plasma (SWP) source couples pulsed microwave (MW) energy into a processing chamber through, for example, a radial line slot antenna, to result in a low mean electron energy (Te). To prevent impingement of the microwave energy onto the surface of a substrate when plasma density is low between pulses, an ICP source, such as a helical inductive source, a planar RF coil, or other inductively coupled source, is provided between the SWP source and the substrate to produce plasma that is opaque to microwave energy. The ICP source can also be pulsed in synchronism with the pulsing of the MW plasma in phase with the ramping up of the MW pulses. The ICP also adds an edge dense distribution of plasma to a generally chamber centric MW plasma to improve plasma uniformity.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 3, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jianping Zhao, Lee Chen, Vincent M. Donnelly, Demetre J. Economou, Merritt Funk, Radha Sundararajan
  • Patent number: 8545669
    Abstract: A plasma processing system is provided with diagnostic apparatus for making in-situ measurements of plasma properties. The diagnostic apparatus generally comprises a non-invasive sensor array disposed within a plasma processing chamber, an electrical circuit for stimulating the sensors, and means for recording and communicating sensor measurements for monitoring or control of the plasma process. In one form, the sensors are dynamically pulsed dual floating Langmuir probes that measure incident charged particle currents and electron temperatures in proximity to the plasma boundary or boundaries within the processing system. The plasma measurements may be used to monitor the condition of the processing plasma or furnished to a process system controller for use in controlling the plasma process.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 1, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Leonard J. Mahoney, Carl W. Almgren, Gregory A. Roche, William W. Saylor, William D. Sproul, Hendrik V. Walde
  • Patent number: 8501020
    Abstract: A method for making a three-dimensional nano-structure array includes following steps. First, a substrate is provided. Next, a mask is formed on the substrate. The mask is a monolayer nanosphere array or a film defining a number of holes arranged in an array. The mask is then tailored and simultaneously the substrate is etched by the mask. Lastly, the mask is removed.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: August 6, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 8492285
    Abstract: A dry etching method for texturing a surface of a substrate is disclosed. The method includes performing a first dry etching onto the surface of the substrate thereby forming a surface texture with spikes and valleys, the first dry etching comprising etching the surface of the substrate in a plasma comprising fluorine (F) radicals and oxygen (O) radicals, wherein the plasma comprises an excess of oxygen (O) radicals. The method may further include performing a second dry etching onto the surface texture thereby smoothening the surface texture, the second dry etching comprising chemical isotropic etching the surface texture, obtained after the first dry etching, in a plasma comprising fluorine (F) radicals, wherein the spikes are etched substantially faster than the valleys.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: July 23, 2013
    Assignee: IMEC
    Inventor: Boon Teik Chan
  • Patent number: 8480807
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: July 9, 2013
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderziek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8465658
    Abstract: In a method of forming a main pole, an initial accommodation layer is etched by RIE using a first etching mask having a first opening, whereby a groove is formed in the initial accommodation layer. Next, a part of the initial accommodation layer including the groove is etched by RIE using a second etching mask having a second opening, so that the groove becomes an accommodation part. The main pole is then formed in the accommodation part. The first etching mask has first and second sidewalls that face the first opening and are opposed to each other at a first distance in a track width direction. The second etching mask has third and fourth sidewalls that face the second opening and are opposed to each other at a second distance greater than the first distance.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: June 18, 2013
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Kazuki Sato, Shigeki Tanemura, Yukinori Ikegawa
  • Publication number: 20130082030
    Abstract: The invention provides a plurality of resonator subsystems. The resonator subsystems can comprise one or more resonant cavities configured to couple electromagnetic (EM) energy in a desired EM wave mode to plasma by generating resonant microwave energy in a resonant cavity adjacent the plasma. The resonator subsystem can be coupled to a process chamber using one or more interface subsystems and can comprise one or more resonant cavities, and each resonant cavity can have a plurality of plasma tuning rods coupled thereto. Some of the plasma tuning rods can be configured to couple the EM-energy from one or more of the resonant cavities to the process space within the process chamber.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihiko Iwao, Peter L.G. Ventzek
  • Patent number: 8308897
    Abstract: A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality 0.7×n/4?D?1.3×n/4 (n being a natural number).
    Type: Grant
    Filed: May 25, 2001
    Date of Patent: November 13, 2012
    Assignees: Rohm Co., Ltd.
    Inventors: Tadahiro Ohmi, Kazuhide Ino, Takahiro Arakawa
  • Publication number: 20120279943
    Abstract: A method and apparatus for processing a substrate is provided. In one embodiment, the apparatus is in the form of a processing chamber that includes a chamber body having a processing volume defined therein. A substrate support, a gas delivery tube assembly and a plasma line source are disposed in the processing volume. The gas delivery tube assembly includes an inner tube is disposed in an outer tube. The inner tube has a passage for flowing a cooling fluid therein. The outer tube has a plurality of gas distribution apertures for providing processing gas into the processing volume.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 8, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Helinda Nominanda, Tae Kyung Won, Seon-Mee Cho, Beom Soo Park, Soo Young Choi
  • Patent number: 8252193
    Abstract: A substrate plasma processing apparatus includes a chamber of which an interior is evacuated under a predetermined vacuum condition; an RF electrode which is disposed in the chamber and configured so as to hold a substrate to be processed on a main surface thereof; an opposing electrode which is disposed opposite to the RF electrode in the chamber; an RF voltage applying device for applying an RF voltage with a predetermined frequency to the RF electrode; and a pulsed voltage applying device for applying a pulsed voltage to the RF electrode so as to be superimposed with the RF voltage and which includes a controller for controlling a timing in application of the pulsed voltage and defining a pause period of the pulsed voltage.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: August 28, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Ui, Takashi Ichikawa, Naoki Tamaoki, Hisataka Hayashi, Akihiro Kojima
  • Publication number: 20120160809
    Abstract: A microwave supply unit 20 of a plasma processing apparatus 11 includes a stub member 51 configured to be extensible from the outer conductor 33 toward the inner conductor 32. The stub member 51 serves as a distance varying device for varying a distance in the radial direction between a part of the outer surface 36 of the inner conductor 32 and a facing member facing the part of the outer surface of the inner conductor 32 in the radial direction, i.e., the cooling plate protrusion 47. The stub member 51 includes a rod-shaped member 52 supported at the outer conductor 33 and configured to be extended in the radial direction; and a screw 53 as a moving distance adjusting member for adjusting a moving distance of the rod-shaped member 52 in the radial direction.
    Type: Application
    Filed: August 16, 2010
    Publication date: June 28, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kiyotaka Ishibashi, Osamu Morita
  • Patent number: 8187485
    Abstract: A diameter of a mounting unit of the stage of an ashing processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: May 29, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Masaru Izawa
  • Publication number: 20120067845
    Abstract: There is provided a plasma processing apparatus capable of stably generating plasma by suppressing oscillation of a plasma potential, and capable of preventing contamination caused by sputtering a facing electrode made of metal. A high frequency bias power is applied to an electrode within a mounting table for mounting a target object thereon. An extended protrusion 60 is formed at an inner peripheral surface of a cover member 27. The extended protrusion 60 is formed toward a plasma generation space S and serves as a facing electrode facing an electrode 7 within a mounting table 5 with the plasma generation space S therebetween. A ratio of a surface area of the facing electrode with respect to that of an electrode for bias (facing electrode surface area/bias electrode area) is in a range of from about 1 to about 5.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 22, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Taichi Monden, Junichi Kitagawa, Jun Yamashita, Hideo Nakamura
  • Publication number: 20120060915
    Abstract: A dry etching method for texturing a surface of a substrate is disclosed. The method includes performing a first dry etching onto the surface of the substrate thereby forming a surface texture with spikes and valleys, the first dry etching comprising etching the surface of the substrate in a plasma comprising fluorine (F) radicals and oxygen (O) radicals, wherein the plasma comprises an excess of oxygen (O) radicals. The method may further include performing a second dry etching onto the surface texture thereby smoothening the surface texture, the second dry etching comprising chemical isotropic etching the surface texture, obtained after the first dry etching, in a plasma comprising fluorine (F) radicals, wherein the spikes are etched substantially faster than the valleys.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 15, 2012
    Applicant: IMEC
    Inventor: Boon Teik CHAN
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Publication number: 20120012556
    Abstract: A plasma etching apparatus 11 includes a mounting table that holds a semiconductor substrate W thereon; a first heater 18a that heats a central region of the semiconductor substrate W held on the mounting table 14; a second heater 18b that heats an edge region around the central region of the semiconductor substrate W held on the mounting table 14; a reactant gas supply unit 13 that supplies a reactant gas for a plasma process toward the central region of the semiconductor substrate W held on the mounting table 14; and a control unit 20 that performs a plasma etching process on the semiconductor substrate W while controlling the first heater 18a and the second heater 18b to heat the central region and the edge region of the processing target substrate W held on the mounting table 14 to different temperatures.
    Type: Application
    Filed: February 26, 2009
    Publication date: January 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Kazuto Takai, Reika Ko, Nobuyuki Okayama
  • Publication number: 20110266257
    Abstract: A plasma etching method uses a plasma etching apparatus including a process chamber, a susceptor, a microwave supplying portion, a gas supplying portion, an evacuation apparatus, a bias electric power supplying portion that supplies alternating bias electric power to the susceptor, and a bias electric power control portion that controls the alternating bias electric power, wherein the bias electric power control portion controls the alternating bias electric power so that supplying and disconnecting the alternating bias electric power to the susceptor are alternately repeated to allow a ratio of a time period of supplying the alternating bias electric power with respect to a total time period of supplying the alternating bias electric power and disconnecting the alternating bias electric power to be 0.1 or more and 0.5 or less.
    Type: Application
    Filed: November 11, 2009
    Publication date: November 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tetsuya Nishizuka, Masahiko Takahashi, Toshihisa Ozu
  • Publication number: 20110240598
    Abstract: A plasma processing apparatus 11 includes a reactant gas supply unit 13 for supplying a reactant gas for a plasma process into a processing chamber 12. The reactant gas supply unit 13 includes a first reactant gas supply unit 61 provided at a center of a dielectric plate 16 and configured to supply the reactant gas in a directly downward direction toward a central region of a processing target substrate W held on a holding table 14; and a second reactant gas supply unit 62 provided at a position directly above the holding table 14 but not directly above the processing target substrate W held on the holding table 14 and configured to supply the reactant gas toward a center of the processing target substrate W held on the holding table 14.
    Type: Application
    Filed: August 25, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki Okayama, Naoki Matsumoto
  • Patent number: 7989034
    Abstract: A method for continuous atmospheric plasma treatment of an electrically insulating workpiece. The workpiece is arranged at a distance beneath at least one high-voltage electrode which extends across a direction of movement. The electrode and the workpiece are set in motion relative to one another. The high voltage being applied to the high-voltage electrode, preferably is in the form of an AC voltage. A first space situated between the high-voltage electrode and the workpiece is filled with a first atmosphere and a second space on the side of the workpiece facing away from the high-voltage electrode is filled with a second atmosphere that is different from the first atmosphere. The second space is adjacent to a back side of the workpiece. The choice of high voltage and of the first and second atmospheres is made in such a way that a plasma discharge is ignited in the second atmosphere.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: August 2, 2011
    Assignee: Softal Corona & Plasma GmbH
    Inventors: Eckhard Prinz, Peter Palm, Frank Forster
  • Patent number: 7975646
    Abstract: Device for depositing a coating on an internal surface of a container, of the type in which the deposition is carried out by means of a low-pressure plasma created inside the container by excitation of a precursor gas by microwave-type electromagnetic waves.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: July 12, 2011
    Assignee: Sidel Participations
    Inventors: Jean-Michel Rius, Nicolas Chomel, Yves-Alban Duclos
  • Publication number: 20110092073
    Abstract: A plasma processing apparatus includes: a processing container capable of maintaining an atmosphere having a pressure lower than atmospheric pressure; an evacuation unit reducing a pressure of an interior of the processing container; a gas introduction unit introducing a process gas to the interior of the processing container; a microwave introduction unit introducing a microwave to the interior of the processing container; and a lifter pin ascendably and descendably inserted through a placement platform provided in the interior of the processing container, an end surface of the lifter pin supporting an object to be processed, the object to be processed being supported by the lifter pin at a first position proximal to an upper surface of the placement platform when the microwave is introduced and plasma is ignited, the object to be processed being supported by the lifter pin at a second position after the plasma ignition, the second position being more distal to the placement platform than the first position.
    Type: Application
    Filed: June 3, 2009
    Publication date: April 21, 2011
    Applicant: SHIBAURA MECHATRONICS CORPORATION
    Inventors: Hideyuki Nitta, Takashi Hosono, Takefumi Minato, Yoshihisa Kase, Makoto Muto
  • Patent number: 7887711
    Abstract: A system and method for patterning metal oxide materials in a semiconductor structure. The method comprises a first step of depositing a layer of metal oxide material over a substrate. Then, a patterned mask layer is formed over the metal oxide layer leaving one or more first regions of the metal oxide layer exposed. The exposed first regions of the metal oxide layer are then subjected to an energetic particle bombardment process to thereby damage the first regions of the metal oxide layer. The exposed and damaged first regions of the metal oxide layer are then removed by a chemical etch. Advantageously, the system and method is implemented to provide high-k dielectric materials in small-scale semiconductor devices. Besides using the ion implantation damage (I/I damage) plus wet etch technique to metal oxides (including metal oxides not previously etchable by wet methods), other damage methods including lower energy, plasma-based ion bombardment, may be implemented.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: February 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Douglas A. Buchanan, Eduard A. Cartier, Evgeni Gousev, Harald Okorn-Schmidt, Katherine L. Saenger