Etching Silicon Containing Substrate Patents (Class 216/79)
  • Patent number: 11329140
    Abstract: A method of forming a gas spacer in a semiconductor device and a semiconductor device including the same are disclosed. In accordance with an embodiment, a method includes forming a gate stack over a substrate; forming a first gate spacer on sidewalls of the gate stack; forming a second gate spacer on sidewalls of the first gate spacer; removing the second gate spacer using an etching process to form a first opening, the etching process being performed at a temperature less than 0° C., the etching process using an etching solution including hydrogen fluoride; and depositing a dielectric layer over the first gate spacer and the gate stack, the dielectric layer sealing a gas spacer in the first opening.
    Type: Grant
    Filed: January 17, 2020
    Date of Patent: May 10, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Huang Huang, Ming-Jhe Sie, Cheng-Chung Chang, Shao-Hua Hsu, Shu-Uei Jang, An Chyi Wei, Shiang-Bau Wang, Ryan Chia-Jen Chen
  • Patent number: 10998877
    Abstract: Methods of fabricating acoustic resonators are disclosed. A back surface of a single-crystal piezoelectric plate is bonded to a surface of a substrate. A conductor pattern is formed on the front surface of the piezoelectric plate, the conductor pattern including a plurality of interdigital transducers (IDTs) of a plurality of resonators. A dielectric passivation/tuning layer is formed over the conductor pattern and the front surface of the piezoelectric plate. Electrical measurements are made on at least some of the plurality of resonators. Material is selectively removed from the dielectric passivation/tuning layer in accordance with the electrical measurements.
    Type: Grant
    Filed: August 7, 2020
    Date of Patent: May 4, 2021
    Assignee: Resonant Inc.
    Inventors: Patrick Turner, Ventsislav Yantchev, Robert B. Hammond
  • Patent number: 10843917
    Abstract: A micromechanical device having a substrate wafer, a functional layer situated above it which has a mobile micromechanical structure, and a cap situated on top thereof, having a first cavity, which is formed at least by the substrate wafer and the cap and which includes the micromechanical structure. The micromechanical device has a fixed part and a mobile part, which are movably connected to each other with at least one spring element, and the first cavity is situated in the mobile part. Also described is a method for producing the micromechanical device.
    Type: Grant
    Filed: April 5, 2017
    Date of Patent: November 24, 2020
    Assignee: Robert Bosch GmbH
    Inventors: Steffen Zunft, Bonsang Kim, Ando Feyh, Andrew Graham, Gary O'Brien, Michael Baus, Ralf Maier, Mariusz Koc
  • Patent number: 10847625
    Abstract: InGaN layers characterized by an in-plane lattice constant within a range from 3.19 to 3.50 ? are disclosed. The InGaN layers are grown by coalescing InGaN grown on a plurality of GaN regions. The InGaN layers can be used to fabricate optical and electronic devices for use in light sources for illumination and display applications.
    Type: Grant
    Filed: November 19, 2019
    Date of Patent: November 24, 2020
    Assignee: OPNOVIX CORP.
    Inventor: Michael R. Krames
  • Patent number: 10755944
    Abstract: An etching method selectively etches a first region of a substrate with respect to a second region of the substrate. At least a portion of the first region, which includes the surface of the first region, is modified by plasma to form a first modified region. At least a portion of the second region, which includes the surface of the second region, is modified by plasma to form a second modified region. The first modified region is selectively etched with respect to the second modified region by plasma.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: August 25, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Tabata, Sho Kumakura
  • Patent number: 10446405
    Abstract: A method of preparing a self-aligned block (SAB) structure is described. The method includes providing a substrate having raised features defined by a first material containing silicon nitride and a second material containing silicon oxide formed on side walls of the first material, and a third material containing an organic material covering some of the raised features and exposing some raised features according to a block pattern formed in the third material. The method further includes forming a first chemical mixture by plasma-excitation of a first process gas containing H and optionally a noble gas, and exposing the first material on the substrate to the first chemical mixture.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: October 15, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sonam D. Sherpa, Alok Ranjan
  • Patent number: 10447235
    Abstract: An elastic wave device includes a first band-pass filter on a piezoelectric substrate and including first IDT electrodes and a first dielectric film, and a second band-pass filter on the piezoelectric substrate and including second IDT electrodes and a second dielectric film. At least one pair among a pair of the first and second IDT electrodes and a pair of the first and second dielectric films has the same or substantially the same film thickness and is made of the same material. A relationship |Tx1?Rx1|/f1>|Tx2?Rx2|/f2 is satisfied. A weighted average of duty ratios of the first IDT electrodes is larger than a weighted average of duty ratios of the second IDT electrodes.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: October 15, 2019
    Assignee: Murata Manufacturing Co., Ltd.
    Inventor: Junpei Yasuda
  • Patent number: 10431470
    Abstract: A method of etching is described. The method includes providing a substrate having a first material containing silicon nitride and a second material that is different from the first material, forming a first chemical mixture by plasma-excitation of a first process gas containing H and optionally a noble gas, and exposing the first material on the substrate to the first chemical mixture. Thereafter, the method includes forming a second chemical mixture by plasma-excitation of a second process gas containing S and F, and optionally a noble element, and exposing the first material on the substrate to the second plasma-excited process gas to selectively etch the first material relative to the second material.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: October 1, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sonam D. Sherpa, Alok Ranjan
  • Patent number: 10319613
    Abstract: Generation of a deposit can be suppressed and high selectivity can be acquired when etching a first region made of silicon nitride selectively against a second region made of silicon oxide. A method includes preparing a processing target object having the first region and the second region within a chamber provided in a chamber main body of a plasma processing apparatus; generating plasma of a first gas including a gas containing hydrogen within the chamber to form a modified region by modifying a part of the first region with active species of the hydrogen; and generating plasma of a second gas including a gas containing fluorine within the chamber to remove the modified region with active species of the fluorine.
    Type: Grant
    Filed: December 11, 2017
    Date of Patent: June 11, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Tabata, Sho Kumakura
  • Patent number: 10250220
    Abstract: An elastic wave device includes a piezoelectric substrate, an IDT electrode, wiring, a pad, an under bump metal, a first dielectric layer, and a second dielectric layer. At least a portion of the IDT electrode includes a first electrically conductive film, at least a portion of the wiring includes a multilayer body including the first electrically conductive film and a second electrically conductive film, and at least a portion of the pad includes the second electrically conductive film. The second dielectric layer covers the region other than the contact region between the second electrically conductive film and the under bump metal. Consequently, the second electrically conductive film is covered with the second dielectric layer and the under bump metal and is not exposed to air.
    Type: Grant
    Filed: February 3, 2016
    Date of Patent: April 2, 2019
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Yuji Miwa, Hijiri Sumii, Junpei Yasuda, Taku Kikuchi, Hisashi Yamazaki
  • Patent number: 10187039
    Abstract: A filter device that reduces spurious emissions generated in a frequency band 1.2 to 1.4 times greater than a center frequency of a passband of a filter. In one example the filter device includes a first filter connected between a common contact and a first signal contact and having a first passband, and a second filter connected between the common contact and a second signal contact and having a second passband with a center frequency in a range of 1.2 to 1.4 times greater than a center frequency of the first passband. The first filter includes a SAW filter formed on a piezoelectric substrate, a SAW resonator formed on the piezoelectric substrate and connected in series between the common contact and the SAW filter, and a dielectric film covering the SAW filter and SAW resonator, the dielectric film having a reduced thickness in a region corresponding to the SAW resonator.
    Type: Grant
    Filed: May 30, 2017
    Date of Patent: January 22, 2019
    Assignee: SKYWORKS FILTER SOLUTIONS JAPAN CO., LTD.
    Inventors: Tomoya Komatsu, Joji Fujiwara
  • Patent number: 10173894
    Abstract: A method and an apparatus for etching microstructures and the like that provides improved selectivity to surrounding materials when etching silicon using xenon difluoride (XeF2). Etch selectivity is greatly enhanced with the addition of hydrogen to the process chamber.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: January 8, 2019
    Assignee: MEMSSTAR LIMITED
    Inventor: Anthony O'Hara
  • Patent number: 10036950
    Abstract: A photomask blank and/or photomask includes a light transmitting substrate, a highly reflective material layer disposed on the light transmitting substrate, and a transfer pattern layer disposed on the highly reflective material layer. The highly reflective material layer reflects light to be transmitted through the light transmitting substrate, with a predetermined reflectivity.
    Type: Grant
    Filed: August 24, 2016
    Date of Patent: July 31, 2018
    Assignee: SK HYNIX INC.
    Inventor: Tae Joong Ha
  • Patent number: 9947768
    Abstract: A method for forming spacers of a gate of a field-effect transistor is provided, the gate being located above a layer of a semiconductor material, the method including forming a dielectric layer covering the gate of the transistor; modifying the dielectric layer by putting the dielectric layer into presence with a plasma formed from a gas formed from at least one first non-carbonated gaseous component of which dissociation generates light ions and a second gaseous component comprising at least one species favoring dissociation of the first component in order to form the light ions, wherein a gas ratio between the first component and the second component is between 1:19 and 19:1.
    Type: Grant
    Filed: June 17, 2016
    Date of Patent: April 17, 2018
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Nicolas Posseme
  • Patent number: 9922840
    Abstract: Methods of selectively etching an exposed portion of a patterned substrate relative to a second exposed portion are described. The etching process is a gas phase etch which uses an oxidizing precursor unexcited in any plasma prior to combination with plasma effluents formed in a remote plasma from an inert precursor. The plasma effluents may be combined with the oxidizing precursor in a plasma-free remote chamber region and/or in a plasma-free substrate processing region. The combination of the plasma effluents excites the oxidizing precursor and removes material from the exposed portion of the patterned substrate. The etch rate is controllable and selectable by adjusting the flow rate of the oxidizing precursor or the unexcited/plasma-excited flow rate ratio.
    Type: Grant
    Filed: July 7, 2015
    Date of Patent: March 20, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Soonam Park, Kenneth D. Schatz, Soonwook Jung, Dmitry Lubomirsky
  • Patent number: 9824932
    Abstract: Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.
    Type: Grant
    Filed: August 11, 2016
    Date of Patent: November 21, 2017
    Assignee: National Technology & Engineering Solutions of Sandia, LLC
    Inventors: Kenneth Wojciechowski, Roy Olsson, Peggy J. Clews, Todd Bauer
  • Patent number: 9754778
    Abstract: Embodiments of the present disclosure are directed towards metallization of a fluorocarbon-based dielectric material for interconnect applications. In one embodiment, an apparatus includes a semiconductor substrate, a device layer disposed on the semiconductor substrate, the device layer including one or more transistor devices, and an interconnect layer disposed on the device layer, the interconnect layer comprising a fluorocarbon-based dielectric material, where x represents a stoichiometric quantity of fluorine relative to carbon in the dielectric material, and one or more interconnect structures configured to route electrical signals to or from the one or more transistor devices, the one or more interconnect structures comprising cobalt (Co), or ruthenium (Ru), or combinations thereof. Other embodiments may be described and/or claimed.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: September 5, 2017
    Assignee: Intel Corporation
    Inventors: Florian Gstrein, David J. Michalak
  • Patent number: 9704720
    Abstract: An integrated circuit may be formed by forming a sacrificial silicon nitride feature. At least a portion of the sacrificial silicon nitride feature may be removed by placing the integrated circuit in a two-step oxidized layer etch tool and removing a surface layer of oxidized silicon from the sacrificial silicon nitride feature using a two-step etch process. The two-step etch process exposes the integrated circuits to reactants from a plasma source at a temperature less than 40° C. and subsequently heating the integrated circuit to 80° C. to 120° C. while in the two-step oxidized layer etch tool. While the integrated circuit is in the two-step oxidized layer etch tool, without exposing the integrated circuit to an ambient containing more than 1 torr of oxygen, at least a portion of the sacrificial silicon nitride feature is removed using fluorine-containing etch reagents, substantially free of ammonia.
    Type: Grant
    Filed: August 4, 2016
    Date of Patent: July 11, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Tom Lii, David Farber
  • Patent number: 9653310
    Abstract: The present disclosure provides methods for etching features in a silicon material includes performing a remote plasma process formed from an etching gas mixture including chlorine containing gas to remove a silicon material disposed on a substrate.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: May 16, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zihui Li, Xing Zhong, Anchuan Wang, Nitin K. Ingle
  • Patent number: 9372393
    Abstract: A mask blank for manufacturing a transfer mask adapted to be applied with ArF excimer laser exposure light that has a transparent substrate and a light-shielding film formed into a transfer pattern. The light-shielding film has at least two-layers, one a lower layer composed mainly of a first material containing a transition metal, silicon, and nitrogen, and the other an upper layer composed mainly of a second material containing a transition metal, silicon, and nitrogen. A ratio of a first etching rate of the lower layer to a second etching rate of the upper layer is 1.0 or more and 5.0 or less in etching carried out by supplying a fluorine-containing substance onto a target portion and irradiating charged particles to the target portion. Another ratio satisfies the following formula CN??0.00526CMo2?0.640CMo+26.624.
    Type: Grant
    Filed: July 10, 2014
    Date of Patent: June 21, 2016
    Assignee: HOYA CORPORATION
    Inventors: Atsushi Kominato, Osamu Nozawa, Hiroyuki Iwashita, Masahiro Hashimoto
  • Patent number: 9373772
    Abstract: A method for manufacturing an imaging device is presented. The method starts with providing a wafer having a membrane with an opening bonded to a substrate. A photoresist layer is deposited over the membrane and wafer surface. A portion of the substrate back surface under a central part of the membrane is etched anisotropicly. A first region of the photoresist layer is removed, exposing an opening in the membrane, so that a first isotropic etching of the substrate is performed through the membrane opening. A second region of the photoresist layer is stripped, exposing a second membrane opening, providing access for a second isotropic etching of the substrate through the first and/or second membrane opening.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: June 21, 2016
    Assignee: Excelitas Technologies Singapore Pte. Ltd.
    Inventors: Grigore D. Huminic, Philippe Vasseur, Hermann Karagoezoglu, Radu M. Marinescu
  • Patent number: 9355867
    Abstract: The present invention relates to a method for producing solar cells with local back surface field (LBSF) using an alkaline etching paste which allows the back surface to be polished and the back surface edges to be insulated in a single process step.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: May 31, 2016
    Assignee: Merck Patent GmbH
    Inventors: Werner Stockum, Oliver Doll, Ingo Koehler, Christian Matuschek
  • Patent number: 9349619
    Abstract: A plasma etching apparatus includes a processing chamber; a holding unit for holding the substrate within the processing chamber; an electrode plate facing the holding unit; a plurality of supply parts arranged at different radial positions with respect to the substrate for supplying processing gas to a space between the holding unit and the electrode plate; a high frequency power supply that supplies high frequency power to the holding unit and/or the electrode plate to convert the processing gas supplied to the space into plasma; an adjustment unit that adjusts a supply condition for each of the supply parts; and a control unit that controls the adjustment unit to vary the supply condition between a position where an effect of diffusion of processing gas on an active species concentration distribution at the substrate is dominant and a position where an effect of flow of the processing gas is dominant.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: May 24, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masaya Kawamata, Masanobu Honda, Kazuhiro Kubota
  • Patent number: 9039913
    Abstract: In a semiconductor device manufacturing method, a target object including a multilayer film and a mask formed on the multilayer film is prepared in a processing chamber of a plasma processing apparatus. The multilayer film is formed by alternately stacking a silicon oxide film and a silicon nitride film. The multilayer film is etched by supplying a processing gas containing hydrogen gas, hydrogen bromide gas, nitrogen trifluoride gas and at least one of hydrocarbon gas, fluorohydrocarbon gas and fluorocarbon gas into the processing chamber of the plasma processing apparatus and generating a plasma of the processing gas in the processing chamber.
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: May 26, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuto Ogawa, Kazuki Narishige, Takanori Sato
  • Patent number: 9034772
    Abstract: A method of etching a substrate by plasma via a mask having a predetermined pattern at back of a silicon layer of the substrate, a semiconductor device being formed at front of which supported by a support substrate, includes a main etching step in which plasma is generated by supplying a process gas including a mixed gas whose flow ratio of fluorine compound gas, oxygen gas and silicon fluoride gas is 2:1:1.5 or a process gas including a mixed gas in which at least the ratio of one of the oxygen gas and the silicon fluoride gas, using the fluorine compound gas as a standard, is larger than the above ratio, and the substrate is etched by the plasma; and an over etching step in which the substrate is further etched by plasma while applying a high frequency for bias whose frequency is less than or equal to 400 kHz.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: May 19, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Koji Maruyama, Mikio Yamamoto
  • Publication number: 20150129545
    Abstract: Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. A remote plasma is used to excite the halogen-containing precursor and a local plasma may be used in embodiments. Metal-containing materials on the substrate may be pretreated using moisture or another OH-containing precursor before exposing the resulting surface to remote plasma excited halogen effluents in embodiments.
    Type: Application
    Filed: October 13, 2014
    Publication date: May 14, 2015
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Jessica Sevanne Kachian, Lin Xu, Soonam Park, Xikun Wang, Jeffrey W. Anthis
  • Patent number: 9023227
    Abstract: Embodiments described herein generally relate to a substrate processing system and related methods, such as an etching/deposition method. The method comprises (A) depositing a protective layer on a first layer disposed on a substrate in an etch reactor, wherein a plasma source power of 4,500 Watts or greater is applied while depositing the protective layer, (B) etching the protective layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the protective layer, and (C) etching the first layer in the etch reactor, wherein the plasma source power of 4,500 Watts or greater is applied while etching the first layer, wherein a time for the depositing a protective layer (A) comprises less than 30% of a total cycle time for the depositing a protective layer (A), the etching the protective layer (B), and the etching the first layer (C).
    Type: Grant
    Filed: May 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jivko Dinev, Saravjeet Singh, Khalid M. Sirajuddin, Tong Liu, Puneet Bajaj, Rohit Mishra, Sonal A. Srivastava, Madhava Rao Yalamanchili, Ajay Kumar
  • Patent number: 9017571
    Abstract: A dry etching agent according to the present invention preferably contains: (A) 1,3,3,3-tetrafluoropropene; (B) at least one kind of additive gas selected from the group consisting of H2, O2, O3, CO, CO2, COCl2, COF2, CF3OF, NO2, F2, NF3, Cl2, Br2, I2, CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HF, HI, HBr, HCl, NO, NH3 and YFn (where Y represents Cl, Br or I; and n represents an integer satisfying 1?n?7); and (C) an inert gas. This dry etching agent has less effect on the global environment and can obtain a significant improvement in process window and address processing requirements such as low side etching ratio and high aspect ratio even without any special substrate excitation operation.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: April 28, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Tomonori Umezaki, Yasuo Hibino, Isamu Mori, Satoru Okamoto, Akiou Kikuchi
  • Patent number: 9012331
    Abstract: Provided is a method of selectively etching a portion of silicon existing on a surface of a substrate to be processed, which includes: loading the substrate to be processed into a chamber; and supplying an FNO gas and an F2 gas that are diluted with an inert gas into the chamber such that the FNO gas and the F2 gas are reacted with the portion of silicon existing on the surface of the substrate to be processed.
    Type: Grant
    Filed: May 29, 2014
    Date of Patent: April 21, 2015
    Assignees: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude, Tokyo Electron Limited
    Inventors: Shuji Moriya, Atsushi Ando, Jun Sonobe, Christopher Turpin
  • Patent number: 8999856
    Abstract: A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: April 7, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jingchun Zhang, Anchuan Wang, Nitin Ingle
  • Publication number: 20150090693
    Abstract: A film formation apparatus according to an embodiment includes: a film formation chamber performing film formation on a substrate; a cylindrical liner provided inside of a sidewall of the film formation chamber; a process-gas supply unit provided at a top of the film formation chamber and having a first gas ejection hole supplying a process gas to inside of the liner; a first heater provided outside the liner in the film formation chamber and heating the substrate from above; a second heater heating the substrate from below; and a shielding gas supply unit having a plurality of second gas ejection holes supplying a shielding gas to a position closer to a sidewall of the film formation chamber than a position of the first gas ejection hole.
    Type: Application
    Filed: August 29, 2014
    Publication date: April 2, 2015
    Inventors: Hideki ITO, Kunihiko SUZUKI, Hidekazu TSUCHIDA, Isaho KAMATA, Masahiko ITO, Hiroaki FUJIBAYASHI, Masami NAITO, Ayumu ADACHI, Koichi NISHIKAWA
  • Patent number: 8986493
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Patent number: 8975190
    Abstract: A plasma processing method includes a surface improving step of improving a surface of the photoresist film by performing plasma processing using a hydrogen-containing gas as a processing gas and an etching step of etching the SiON film by performing plasma processing using a processing gas including a gas containing a CHF-based gas and a chlorine-containing gas while using as a mask the photoresist film having the improved surface.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: March 10, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Ryoichi Yoshida
  • Publication number: 20150060405
    Abstract: A method is provided for producing a microstructure. The method includes the first step of forming a supporting layer on a base substrate including a silicon substrate provided with recessed sections at a first surface thereof and a metal structure filling the recessed sections so as to come in contact with the metal structure at the first surface, the second step of forming a structure including the metal structure and the supporting layer by selectively etching the silicon substrate to expose at least the surface of the metal structure opposite the surface in contact with the supporting layer from the silicon substrate, and the third step of selectively etching the supporting layer of the metal structure.
    Type: Application
    Filed: August 29, 2014
    Publication date: March 5, 2015
    Inventor: Takayuki Teshima
  • Patent number: 8956544
    Abstract: A method for manufacturing a micromechanical structure, and a micromechanical structure. The micromechanical structure encompasses a first micromechanical functional layer, made of a first material, that comprises a buried conduit having a first end and a second end; a micromechanical sensor structure having a cap in a second micromechanical functional layer that is disposed above the first micromechanical functional layer; an edge region in the second micromechanical functional layer, such that the edge region surrounds the sensor structure and defines an inner side containing the sensor structure and an outer side facing away from the sensor structure; such that the first end is located on the outer side and the second end on the inner side.
    Type: Grant
    Filed: August 15, 2012
    Date of Patent: February 17, 2015
    Assignee: Robert Bosch GmbH
    Inventors: Johannes Classen, Jochen Reinmuth, Sebastian Guenther, Pia Bustian-Todorov
  • Patent number: 8956980
    Abstract: A method of etching silicon nitride on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and a nitrogen-and-oxygen-containing precursor. Plasma effluents from two remote plasmas are flowed into a substrate processing region where the plasma effluents react with the silicon nitride. The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon nitride while very slowly removing silicon, such as polysilicon. The silicon nitride selectivity results partly from the introduction of fluorine-containing precursor and nitrogen-and-oxygen-containing precursor using distinct (but possibly overlapping) plasma pathways which may be in series or in parallel.
    Type: Grant
    Filed: November 25, 2013
    Date of Patent: February 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Zhijun Chen, Zihui Li, Anchuan Wang, Nitin K. Ingle, Shankar Venkataraman
  • Patent number: 8945414
    Abstract: Oxides (e.g., native or thermal silicon oxide) are etched from underlying silicon with a mixture of fluorine and oxygen radicals generated by a remote plasma. The oxygen radicals rapidly oxidize any uncovered bare silicon areas, preventing the pitting that can result from fluorine etching bare silicon more rapidly than it etches the surrounding oxide. A very thin (few ?), highly uniform passivation layer remaining on the silicon after the process may be left in place or removed. An oxygen-impermeable layer may be formed in-situ immediately afterward to prevent further oxidation. A pre-treatment with oxygen radicals alone fills pores and gaps in the oxide before etching begins.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: February 3, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Jingang Su, Ashish Bodke, Abhijit Pethe, J Watanabe
  • Patent number: 8932406
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 13, 2015
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, Jr.
  • Patent number: 8927431
    Abstract: Methods of etching a silicon substrate at a high rate using a chemical vapor etching process are provided. A silicon substrate may be etched by heating the silicon substrate in a process chamber and then flowing hydrochloric acid and a germanium-carrying compound into the process chamber. The substrate may be heated to at least 700° C. The hydrochloric acid flow rate may be at least approximately 100 (standard cubic centimeters per minute) sccm. In some embodiments, the hydrochloric acid flow rate may be between approximately 10 slm and approximately 20 standard liters per minute (slm). The germanium-carrying compound flow rate may be at least approximately 50 sccm. In some embodiments, the germanium-carrying compound flow rate may be between approximately 100 sccm and approximately 500 sccm. The etching may extend fully through the silicon substrate.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: January 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Gen P. Lauer, Isaac Lauer, Joseph S. Newbury
  • Patent number: 8926852
    Abstract: The present invention discloses a method for transferring a graphene layer. The graphene layer formed on a metal carrier layer is electrostatically adsorbed on a substrate by electrostatic charges, and then the substrate having the graphene layer formed on the metal carrier layer is immersed in an etching solution to remove the metal carrier layer, thereby completing the transfer of the graphene layer. In addition to being able to provide a simple method for transferring the graphene layer, the present invention further solves a problem of retaining organic residues, thus enhancing electrical properties of the transferred graphene layer.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: January 6, 2015
    Assignees: National Taiwan University, National Taiwan Normal University
    Inventors: Chun-wei Chen, Chia-chun Chen, Di-yan Wang, I-sheng Huang
  • Patent number: 8920662
    Abstract: A nozzle plate manufacturing method that offers excellent protection against discharge liquid and that enables a nozzle plate having high nozzle-hole accuracy to be manufactured with good yield. The invention also provides a nozzle plate, a droplet discharge head manufacturing method, and a droplet discharge head.
    Type: Grant
    Filed: February 25, 2013
    Date of Patent: December 30, 2014
    Assignee: Seiko Epson Corporation
    Inventor: Junichi Takeuchi
  • Patent number: 8920666
    Abstract: Disclosed herein is a dry etching method for a work layer formed over a substrate, including the steps of forming a hard mask layer over the work layer formed over the substrate, forming a resist pattern over the hard mask layer, transferring the resist pattern to the hard mask layer by first dry etching conducted using the resist pattern, and patterning the work layer by second dry etching conducted using a hard mask pattern obtained upon the transfer to the hard mask layer, wherein after the hard mask layer is patterned by the first dry etching, the patterning of the work layer by the second dry etching is conducted through changing the concentration of an auxiliary ingredient of a dry etching gas, without changing a main ingredient of the dry etching gas, in an etching apparatus in which the first dry etching has been conducted.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: December 30, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shinichi Igarashi, Yukio Inazuki, Hideo Kaneko, Hiroki Yoshikawa, Yoshinori Kinase
  • Patent number: 8916477
    Abstract: Provided are methods and systems for removing polysilicon on a wafer. A wafer can include a polysilicon layer and an exposed nitride and/or oxide structure. An etchant with a hydrogen-based species, such as hydrogen gas, and a fluorine-based species, such as nitrogen trifluoride, can be introduced. The hydrogen-based species and the fluorine-based species can be activated with a remote plasma source. The layer of polysilicon on the wafer can be removed at a selectivity over the exposed nitride and/or oxide structure that is greater than about 500:1.
    Type: Grant
    Filed: June 12, 2013
    Date of Patent: December 23, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Bayu Thedjoisworo, Jack Kuo, David Cheung, Joon Park
  • Patent number: 8900468
    Abstract: A method includes forming a hydrophilic guide layer, a DBARC layer and a photoresist film. A portion of the photoresist film and DBARC layer is exposed to form exposed and unexposed portions. The unexposed photoresist film is removed to form a photoresist pattern including the exposed photoresist film portion. A neutral layer is formed on the photoresist pattern. The photoresist pattern and the DBARC layer of the exposed portion are removed to form first opening portions exposing the guide layer. A block copolymer layer includes a block copolymer having first and second polymer blocks coated on the neutral layer while filling the first opening portions. The block copolymer layer is microphase separated to form a pattern layer including first and second patterns. A pattern including one polymer block is removed to form a pattern mask. The object layer is etched to form a pattern including second opening portions.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: December 2, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-Sung Kim, Jae-Woo Nam, Chul-Ho Shin, Shi-Yong Yi
  • Publication number: 20140349488
    Abstract: Disclosed is an etching gas provided containing CHF2COF. The etching gas may contain, as an additive, at least one kind of gas selected from O2, O3, CO, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1?n?7.), CH4, CH3F, CH2F2, CHF3, N2, He, Ar, Ne, Kr and the like, from CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HI, HBr, HCl, CO, NO, NH3, H2 and the like, or from CH4, CH3F, CH2F2 and CHF3. This etching gas is not only excellent in etching performances such as the selection ratio to a resist and the patterning profile but also easily available and does not substantially by-produce CF4 that places a burden on the environment.
    Type: Application
    Filed: August 8, 2014
    Publication date: November 27, 2014
    Inventors: Naoto TAKADA, Isamu MORI
  • Patent number: 8894868
    Abstract: A method of forming an aperture (e.g., a through via, a blind via, a trench, an alignment feature, etc.) within a substrate includes irradiating a substrate with a laser beam to form a laser-machined feature having a sidewall. The laser-machined feature is then processed to change at least one characteristic (e.g., the sidewall surface roughness, diameter, taper, aspect ratio, cross-sectional profile, etc.) of the laser-machined feature. The laser-machined feature can be processed to form the aperture by performing an isotropic wet-etch process employing an etchant solution containing HNO3, HF and, optionally acetic acid.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Andy Hooper, Daragh Finn, Tim Webb, Lynn Sheehan, Kenneth Pettigrew, Yu Chong Tai
  • Patent number: 8894870
    Abstract: A system and method for etching a material, including a compound having a formulation of XYZ, wherein X and Y are one or more metals and Z is selected from one or more Group 13-16 elements, such as carbon, nitrogen, boron, silicon, sulfur, selenium, and tellurium, are disclosed. The method includes a first etch process to form one or more first volatile compounds and a metal-depleted layer and a second etch process to remove at least a portion of the metal-depleted layer.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: November 25, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Jereld Lee Winkler, Eric James Shero, Fred Alokozai
  • Patent number: 8889024
    Abstract: A plasma etching method that can improve an etching selection ratio of a film to be etched to a film different from the film to be etched compared with the related art is provided. The present invention provides a plasma etching method for selectively etching a film to be etched against a film different from the film to be etched, in which plasma etching of the film to be etched is performed using a gas that can cause to generate a deposited film containing similar components as components of the different film.
    Type: Grant
    Filed: April 9, 2014
    Date of Patent: November 18, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tomoyuki Watanabe, Michikazu Morimoto, Mamoru Yakushiji, Tetsuo Ono
  • Patent number: 8889018
    Abstract: A method for manufacturing a magnetic write pole using a mask that includes a multi-layer hard mask. The multi-layer hard mask hard mask includes a first hard mask layer that is constructed of a Si containing material that can be spun on and a second hard mask material that is deposited by a deposition process such as sputter deposition. The first hard mask layer has optical properties that allow it to function well as a bottom anti-reflective coating (BARC) and also has optical properties that match well with an underlying image transfer layer. The second hard mask material has good selectivity for reactive ion etching so that it functions well as a RIE hard mask.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: November 18, 2014
    Assignee: HGST Netherlands B.V.
    Inventors: Guomin Mao, Yi Zheng
  • Patent number: 8883018
    Abstract: A method for fabricating a grating coupler having a bottom mirror in a semiconductor wafer including etching a trench from a top surface of a wafer and around a grating coupler formed in the wafer; etching a void underneath the grating coupler; etching a via into the void from the backside of the wafer; and depositing a mirror on the bottom of the grating coupler. Alternatively, additional oxide may be deposited on the bottom of the grating coupler prior to the deposition of the mirror such that a desirable oxide thickness on the bottom is achieved.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: November 11, 2014
    Assignee: Acacia Communications Inc.
    Inventor: Christopher Doerr