By Optical Means Or Of An Optical Property Patents (Class 216/85)
  • Patent number: 7312154
    Abstract: A method of polishing a semiconductor layer formed on a transparent substrate is described, the method including measuring the thickness of the semiconductor from the substrate side of the semiconductor layer simultaneously with the polishing, and using the thickness measurement to modify the polishing.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: December 25, 2007
    Assignee: Corning Incorporated
    Inventors: Jeffrey Scott Cites, Charles Michael Darcangelo, Steven Joseph Gregorski, Richard Orr Maschmeyer, Mark Andrew Stocker, John Christopher Thomas
  • Patent number: 7229566
    Abstract: A two-dimensional image of an alignment mark 30 is acquired by an alignment scope 15 at step S61, and the two-dimensional image acquired at step S61 is converted to a light-intensity signal line by line at step S62. A selection as to whether each line signal is valid or unnecessary is made at step S63. The amount of positional deviation of the alignment mark 30 is calculated using only valid line signals at step S64.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: June 12, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takahiro Matsumoto, Hideki Ina
  • Patent number: 7217371
    Abstract: The present invention relates to interfacing new sensors to incumbent controls. In particular, it relates to optically interfacing a new sensor, such as a spectrometer with plasma generator, to an incumbent electro-optical sensor. Logic and resources to control activation of the incumbent electro-optical sensor may be included. Particular aspects of the present invention are described in the claims, specification and drawings.
    Type: Grant
    Filed: July 26, 2004
    Date of Patent: May 15, 2007
    Assignee: Lightwind Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 7199053
    Abstract: Disclosed is a method for detecting an end-point of a CMP process of a semiconductor device. More specifically, when all polishing processes are performed using a nitride film as a polishing barrier film, a buffer layer including nitrogen is formed on the nitride film and a polishing process is performed. Then, the concentration of NO from ammonia gas generated from the buffer layer is detected so that the nitride film may be polished to a desired target without damage of the nitride film. As a result, an end-point can be set.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: April 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jong Goo Jung
  • Patent number: 7196011
    Abstract: The present invention relates to a polishing apparatus for polishing a workpiece such as a semiconductor wafer to a flat mirror finish, and more particularly to a polishing apparatus having a workpiece transfer robot for transferring a workpiece from one operation to the next. The polishing apparatus according to the present invention comprises a polishing section including a top ring for holding a workpiece to be polished and a turntable having a polishing surface for polishing a surface of the workpiece held by the top ring; a cleaning section including a cleaning device for cleaning the workpiece that has been polished in the polishing section; and a workpiece transfer robot for transferring the workpiece to be polished to the polishing section or for transferring the workpiece that has been polished to the cleaning section.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: March 27, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chan-Woo Cho, Jae-Phil Boo, Myung-Seok Kim, Jong-Muk Kang, Ik-Joo Kim, Jung-Hwan Sung, Ki-Hong Jung, Keon-Sik Seo
  • Patent number: 7182669
    Abstract: Planarizing workpieces, e.g., microelectronic workpieces, can employ a process indicator that is adapted to change an optical property in response to a planarizing condition. This process indicator may, for example, change color in response to reaching a particular temperature or in response to a particular shear force. In this example, the change in color of the process indicator may be correlated with an ongoing operating condition of the planarizing machine, such as excessive downforce, or correlated with an endpoint of the planarizing operation. Incorporating the process indicator in the planarizing medium, as proposed for select applications, can enable relatively simple, real-time collection of information that can be used to control a planarizing operation.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: February 27, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Jason B. Elledge
  • Patent number: 7165560
    Abstract: In order to reliably remove, by wet etching, a compound containing a metal and silicon, e.g., a silicate (101a) containing hafnium metal, the silicate (101a) is oxidized and then the oxidized silicate (101a) is wet-etched.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: January 23, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Shinji Fujii
  • Patent number: 7160479
    Abstract: The apparatus for quantifying effectiveness of solvent to clean a coating from a substrate using a drip test includes a test stand, a drip test device, and a computer associated with the stand and test device. The test stand is adapted to support a glass panel at a predetermined angle. The drip test device is adapted to deposit solvent-based droplets onto a coated surface of the glass panel to clean the coated surface. The computer optically scans the glass panel and to determine cleanliness after a drip test is conducted. The method includes conducting a drip test on a coated glass panel, placing a template behind the glass panel, optically scanning the glass panel and template into a computer, and evaluating the glass panel for cleanliness based on the scanned image of the glass panel and template.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: January 9, 2007
    Assignee: PPG Industries Ohio, Inc.
    Inventor: Phillip J. Beauchamp
  • Patent number: 7141179
    Abstract: The invention describes a method to facilitate the use of low-sensitivity monitoring equipment for detecting and monitoring defects on the surface of semiconductor wafers. The method includes the use of a hydrofluoric acid solution for increasing the dimensions of a defect and the application of a thin-film layer of a metal, such as titanium, for improving the appearance of the defect such that the defect dimensions increase to above 0.1 nanometer, the detection threshold for economical low-sensitivity monitoring equipment.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: November 28, 2006
    Assignee: Macronix International Co., Ltd.
    Inventors: Wu-An Weng, Wang-Tsai Hsu, Kun-Yu Liu, Yi-Chieh Lai
  • Patent number: 7070702
    Abstract: A method of selectively etching a substrate (1) comprises applying etchant (4) at a surface of the substrate and illuminating an area of the surface with light from a light source (7), whereby etching is at least partially inhibited in the illuminated area (18) of the substrate. Preferably LiNbO3 is patterned in HF KOH, or HF?HNO3 solutions by selective illumination using UV laser light with 300 to 1000 mn wavelength, thereby allowing for interferometric or holographic structures to be formed.
    Type: Grant
    Filed: July 20, 1999
    Date of Patent: July 4, 2006
    Assignee: QinetiQ Limited
    Inventors: Robert W Eason, Ian E Barry, Peter G R Smith, Graeme W Ross
  • Patent number: 7026255
    Abstract: In a method for photo-electrochemical etching of a semiconductor sample, the semiconductor sample is brought in contact with an electrolyte liquid. The contact area formed thereby is illuminated through the electrolyte liquid with UV light. The photo-current created by UV light irradiation at the contact area is measured. To increase the etching quality, a jet of fresh electrolyte liquid is repeatedly applied to the contact area. A device for carrying out the method includes a container to be filled with an electrolyte liquid, a UV source for illuminating the semiconductor sample with UV light through the electrolyte liquid, and a measuring instrument for measuring the photo-current created during UV light irradiation of the contact area. Further provided are an inlet for supplying fresh electrolyte liquid, directed towards the semiconductor sample, and a device attached to the inlet for repeated production of electrolyte fluid jets, directed towards the semiconductor sample.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: April 11, 2006
    Inventor: Thomas Wolff
  • Patent number: 6936182
    Abstract: A method and system of imagewise etching the surface of a substrate, such as thin glass, in a parallel process. The substrate surface is placed in contact with an etchant solution which increases in etch rate with temperature. A local thermal gradient is then generated in each of a plurality of selected local regions of a boundary layer of the etchant solution to imagewise etch the substrate surface in a parallel process. In one embodiment, the local thermal gradient is a local heating gradient produced at selected addresses chosen from an indexed array of addresses. The activation of each of the selected addresses is independently controlled by a computer processor so as to imagewise etch the substrate surface at region-specific etch rates. Moreover, etching progress is preferably concurrently monitored in real time over the entire surface area by an interferometer so as to deterministically control the computer processor to image-wise figure the substrate surface where needed.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: August 30, 2005
    Assignee: The Regents of the University of California
    Inventor: Michael C. Rushford
  • Patent number: 6843927
    Abstract: Techniques for detecting endpoints during semiconductor dry-etching processes are described. The dry-etching process of the present invention involves using a combination of a reactive material and a charged particle beam, such as an electron beam. In another embodiment, a photon beam is used to facilitate the etching process. The endpoint detection techniques involve monitoring the emission levels of secondary electrons and backscatter electrons together with the current within the sample. Depending upon the weight given to each of these parameters, an endpoint is identified when the values of these parameters change more than a certain percentage, relative to an initial value for these values.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: January 18, 2005
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Mehran Naser-Ghodsi
  • Patent number: 6790683
    Abstract: The present invention is generally directed to various methods of controlling wet chemical processes in forming metal silicide regions, and a system for performing same. In one illustrative embodiment, the method comprises providing a substrate having a layer of unreacted refractory metal and at least one metal silicide region formed thereabove, performing a wet chemical process to remove at least a portion of the layer of unreacted refractory metal, measuring at least one characteristic of the portion of the layer of unreacted refractory metal while the wet chemical process is being performed, and controlling at least one parameter of the wet chemical process based upon the measured at least one characteristic of the portion of the layer of unreacted refractory metal.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: September 14, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Richard J. Markle, Terri A. Couteau
  • Patent number: 6730988
    Abstract: Substantially sharp corners for optical waveguides in integrated optical devices, photonic crystal devices, or for micro-devices, can be fabricated. Non-sharp corners such as rounded corners, are first formed using lithographic patterning and vertical etching. Next, isotropic etching is used to sharpen the rounded corners. A monitor can be used to determine if the rounded corners have been sufficiently sharpened by the isotropic etching.
    Type: Grant
    Filed: January 8, 2003
    Date of Patent: May 4, 2004
    Assignee: Intel Corporation
    Inventors: Francisco A. Leon, Everett X. Wang
  • Patent number: 6727107
    Abstract: A method of testing the processing of a wafer on a CMP apparatus includes processing a control wafer with the CMP apparatus with a predetermined control consumable combination under a predetermined set of control conditions and generating a control data set which describes the processing of the control wafer with the CMP apparatus, the control data set being based upon the control conditions and a removable rate of the control wafer. The method further includes processing a test wafer with a CMP apparatus with a test consumable combination substantially the same as the control consumable combination under a set of test conditions substantially the same as the set of control conditions. The method further includes generating a test data set which describes the processing of the test wafer with the CMP apparatus.
    Type: Grant
    Filed: September 7, 2001
    Date of Patent: April 27, 2004
    Assignee: LSI Logic Corporation
    Inventors: Samuel V. Dunton, Ron Nagahara, Pepito C. Galvez
  • Patent number: 6723650
    Abstract: A technique for preparing a TEM sample for imaging of a defect in a wafer section during the course of integrated circuit fabrication on semiconductor wafer substrates. The TEM sample preparation technique of the present invention includes cutting a first cross-section void in the wafer section to expose the defect, providing a substantially transparent material on the defect to protect the defect from cutting particles, depositing a cutting line on the wafer section adjacent to the first cross-section void, and cutting a second cross-section void along the cutting line to define a TEM sample having a selected thickness between the first and second cross-section voids and containing the defect.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: April 20, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chieh-Fei Chang
  • Patent number: 6716362
    Abstract: A method of etching a substrate, includes measuring a reflectance signal from a reflective material deposited on the substrate as the substrate is being etched, correlating the substrate etch rate to the reflectance signal from the reflective material, and using the etch relation between the substrate and the reflective material to determine the etch target.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: April 6, 2004
    Assignee: International Business Machines Corporation
    Inventor: Jason Michael Benz
  • Patent number: 6709876
    Abstract: In a method for removing an organic material from semiconductor devices, at least one semiconductor device is inserted into a so-called piranha bath. Measurement data are processed to get a data curve for measuring a concentration of at least one reaction product. The measurement data is queried for at least one of a turning point, a local maximum point or a local minimum point of the curve each being significantly different from signal noise after removing the semiconductor device from the fluid. With the information it is decided whether further processing of the semiconductor device is needed. The method is suitable for detecting an incomplete removal of organic material, i.e. photoresist deposited on the processed semiconductor device.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: March 23, 2004
    Assignee: Infineon Technologies AG
    Inventors: Veronika Polei, Martin Welzel
  • Patent number: 6686130
    Abstract: In the light exposure step of the device pattern, the monitor region is exposed to light together with the device region for every chip, and chip {circle around (4)} within the wafer, the chip {circle around (4)} having the focus conditions in the light exposure step close to a set value and having an average value of the dose, is extracted after the light exposure of the device pattern and before the developing treatment. The monitor region arranged within the extracted chip {circle around (4)} is irradiated with light during the development of the resist, and the stopping time of the development for finishing the device pattern in a desired size is estimated on the basis of the change in the intensity of the reflected light of the monitor region. Further, a developing solution is supplied onto the wafer during the estimated stopping time of the development so as to stop the development.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: February 3, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kei Hayasaki, Shinichi Ito
  • Patent number: 6660124
    Abstract: A wafer having a polished surface of copper is caused to contact a pad serving as an abrasive member, and the copper is polished while supplying a slurry containing mechanical and chemical polishing particles. Thereafter, when a finishing member of diamond having a large number of fine protrusions is scanned while the finishing member contacts the surface of the pad, a chelating agent, such as oxtail acid, is supplied to the surface of the pad as a dressing solution. Thus, reaction products, which have been produced by the reactions of copper with the slurry and which have adhered to the surface of the pad to be difficult to be dissolved in water, are dissolved, so that the reaction products can be removed in a short time. Thus, the reaction products having adhered to the abrasive member after polishing the wafer can be remove in a short time, so that the time required to carry out the CMP process can be shortened.
    Type: Grant
    Filed: November 17, 2000
    Date of Patent: December 9, 2003
    Assignee: Tokyo Electron Ltd.
    Inventors: Tetsu Kawasaki, Mitsuaki Iwashita
  • Patent number: 6656374
    Abstract: Apparatus and method for post etching inspection of electrical circuits including an optical inspection assembly viewing an electrical circuit at various regions thereon and providing output indications of etching characteristics of the electrical circuit at the various regions and output circuitry receiving the output indications of etching characteristics of the electrical circuit at the various regions and providing an output indication of variations in the etching characteristics between at least some of the various regions.
    Type: Grant
    Filed: April 2, 2001
    Date of Patent: December 2, 2003
    Assignee: Orbotech Ltd.
    Inventor: Nissim Savareigo
  • Publication number: 20030178390
    Abstract: A method for monitoring an etch process of a substrate that includes receiving a first signal having a first wavelength, deriving a second signal based on the first signal and combining the first signal with the second signal to produce a composite signal having a composite wavelength less than the first wavelength. The method further includes identifying one or more inflection points of the composite signal and determining an etch rate of an etch process by evaluating the inflection points and elapsed time between the inflection points.
    Type: Application
    Filed: March 20, 2002
    Publication date: September 25, 2003
    Inventors: Catherine Odor, Richard Chapman
  • Publication number: 20030121890
    Abstract: The present invention discloses a method and a system of wafer protection of a chemical mechanical process. It takes an image on the polishing pad, and analyzes and identifies the image. If the wafer is out of a polishing head, a signal will be sent to the chemical mechanical polishing station to respond adequately. Otherwise, repeats the image obtaining and its following analysis and identification. The present invention can avoid broken wafers and reduce the station recovery time. Hence, it can increase the up time and the throughput of the station.
    Type: Application
    Filed: June 17, 2002
    Publication date: July 3, 2003
    Applicant: CALITECH CO., LTD.
    Inventor: Chin-Ting Kuo
  • Patent number: 6562254
    Abstract: A method of reducing the thickness t of a layer of material on a substrate when the substrate is exposed to an etchant for a span of time sufficient to reduce t to a value to, at which point exposure to the etchant is interrupted, includes the thickness to being determined using monitoring means which, at any given instant, allow determination of the depth &Dgr;t of material which has been etched away. The method further includes the monitoring means being embodied as a resonant crystal whose resonant frequency f at any given instant is a function of the mass m of the crystal at that instant. The crystal is coated with a layer of reference material of thickness d, which material can be etched using the same etchant as for the material on the substrate. The crystal is exposed to the etchant simultaneously with the substrate, thus causing m to decrease as reference material is etched away, a decrease Am in m corresponding to a decrease &Dgr;d in d, in turn corresponding to a decrease &Dgr;t in t.
    Type: Grant
    Filed: March 1, 1999
    Date of Patent: May 13, 2003
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Dirk M. Knotter, Antonius A. M. Van De Vorst
  • Patent number: 6555017
    Abstract: An apparatus and method for modifying the surface of an object by contacting said surface with a liquid processing solution using the liquid applicator geometry and Marangoni effect (surface tension gradient-driven flow) to define and confine the dimensions of the wetted zone on said object surface. In particular, the method and apparatus involve contouring or figuring the surface of an object using an etchant solution as the wetting fluid and using realtime metrology (e.g. interferometry) to control the placement and dwell time of this wetted zone locally on the surface of said object, thereby removing material from the surface of the object in a controlled manner. One demonstrated manifestation is in the deterministic optical figuring of thin glasses by wet chemical etching using a buffered hydrofluoric acid solution and Marangoni effect.
    Type: Grant
    Filed: October 13, 2000
    Date of Patent: April 29, 2003
    Assignee: The Regents of the University of Caliofornia
    Inventors: Michael C. Rushford, Jerald A. Britten
  • Patent number: 6551521
    Abstract: A highly accurate sensor for monitoring the color density of various etchants in etchant regeneration systems is disclosed. The sensor comprises a Pyrex or equivalent tubular sensing chamber and a light cell housing surrounding a portion of the chamber. The chamber contains a rodlike extension extending into the interior of the chamber. The housing accommodates a light source, preferably an LED or laser, and a photodetector, optically coupled through an aperture in the housing. Two such sensors are used in a regeneration apparatus attached to an etching machine used for etching copper, iron, stainless steels or other materials. In another embodiment, multiple sensors are used to detect multiple constituents of the etchant depending on the material being etched and the etchant used. A system employing two sets of sensors is particularly applicable to etchants that pass one color of light well when fully regenerated and another color of light well when fully spent.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: April 22, 2003
    Assignee: Oxford Vue, Inc.
    Inventors: Philip Culpovich, David Flynn
  • Patent number: 6547974
    Abstract: A printed circuit board is produced by patterning a resist layer according to a circuit mask that defines desired circuit paths. The resist pattern layer is formed by removing the resist from the board in the desired circuit paths and a conductive material is plated onto the board in the resist voids defined by the circuit mask so that the height of the conductive material relative to the substrate equals or exceeds the height of the resist layer relative to the substrate. A low-reactive solution is applied over the conductive material and removes a surface portion of the conductive material. As the solution removes the conductive layer, it forms a film barrier and the solution composition changes, both of which substantially inhibits any further removal of the conductive material. Next, the film barrier is removed from the board allowing another film barrier to form stimulating the removal of further conductive material.
    Type: Grant
    Filed: June 27, 1995
    Date of Patent: April 15, 2003
    Assignee: International Business Machines Corporation
    Inventors: Stanley Michael Albrechta, Christina Marie Boyko, Kathleen Lorraine Covert, Natalie Barbara Feilchenfeld, Voya Rista Markovich, William Earl Wilson, Michael Wozniak
  • Publication number: 20030029838
    Abstract: In a method for removing an organic material from semiconductor devices, at least one semiconductor device is inserted into a so-called piranha bath. Measurement data are processed to get a data curve for measuring a concentration of at least one reaction product. The measurement data is queried for at least one of a turning point, a local maximum point or a local minimum point of the curve each being significantly different from signal noise after removing the semiconductor device from the fluid. With the information it is decided whether further processing of the semiconductor device is needed. The method is suitable for detecting an incomplete removal of organic material, i.e. photoresist deposited on the processed semiconductor device.
    Type: Application
    Filed: August 8, 2002
    Publication date: February 13, 2003
    Inventors: Veronika Polei, Martin Welzel
  • Patent number: 6506341
    Abstract: An apparatus is described for detecting the presence of a gaseous chemical produced during a chemical-mechanical polishing operation. The apparatus includes a catalytic converter, a reaction chamber and a light sensor. The catalytic converter, heated to about 800° C. converts the chemical to a different chemical product. The reaction chamber produces an excited species; the pressure in the reaction chamber is maintained sufficiently low to substantially avoid collisional deactivation of the excited species, so as to permit real-time detection of the chemical. A light signal from the excited species is input to the light sensor. An output from the light sensor corresponds to the real-time detection of the chemical, thereby permitting real-time control of the chemical-mechanical polishing operation.
    Type: Grant
    Filed: August 4, 1998
    Date of Patent: January 14, 2003
    Assignees: International Business Machines Corporation, ECO Physics AG
    Inventors: Leping Li, James A. Gilhooly, Clifford O. Morgan, III, Cong Wei, Werner Moser, Matthias Kutter, Joseph Knee, Walter Imfeld, Bruno Greuter, Heinz Stuenzi
  • Patent number: 6500352
    Abstract: An electrode plate is formed by a substrate and a plurality of patterned electrodes formed on the substrate. Each patterned electrode has a laminate structure including a first layer of nickel metal formed on the substrate and a second layer of copper formed thereon. The electrode plate may be prepared by a process including a step of etching such a multi-layer metal electrode-forming film formed on a substrate by spraying an etchant downwardly and uniformly onto the substrate while rotating the substrate at a rotation speed sufficient to allow quick liberation of the etchant from the substrate. The metal electrodes can be formed with good adhesion onto the substrate and with good width and thickness accuracy. By incorporating the electrode plate as a pair of substrates sandwiching a liquid crystal, a liquid crystal device free from transmission delay and rounding of voltage waveforms can be provided.
    Type: Grant
    Filed: November 23, 1999
    Date of Patent: December 31, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiaki Yoshikawa, Makoto Kameyama, Junri Ishikura
  • Patent number: 6448084
    Abstract: A method for preparing at least one metal layer of an integrated circuit for visual analysis. The at least one metal layer to be visually analyzed is exposed, and a solution of nitric acid, acetic acid, and ammonium fluoride is applied to the at least one metal layer. The at least one metal layer is rinsed to substantially remove the solution, and the s integrated circuit is dried. The solution is made with one part nitric acid, three parts acetic acid, and two parts ammonium fluoride. The nitric acid is a solution of about seventy percent by weight in water, the acetic acid is glacial acetic acid, and the ammonium fluoride is a solution of about forty percent by weight in water. The solution is at a temperature of about seventy degrees Fahrenheit, and is applied to the at least one metal layer by swabbing the solution onto the layer for between about ten seconds and about fifteen seconds. The step of exposing the at least one metal layer includes sawing the integrated circuit along a desired cross section.
    Type: Grant
    Filed: January 20, 2000
    Date of Patent: September 10, 2002
    Assignee: LSI Logic Corporation
    Inventors: Patricia M. Batteate, Kristine T. Griley
  • Publication number: 20020074311
    Abstract: A method for monitoring the endpoint for a silicon wafer or other semiconductor device CMP process. A fixed abrasive article, such as a three dimensional abrasive article, is used to planarize the wafer in the presence of a working fluid. A component in the effluent from the CMP process is monitored to predict the CMP endpoint. In some embodiments, the component monitored is a reaction production between a component from the silicon wafer and a reactant.
    Type: Application
    Filed: December 1, 2000
    Publication date: June 20, 2002
    Inventor: Eric F. Funkenbusch
  • Patent number: 6406641
    Abstract: A semiconductor process endpoint detection system uses a relatively wide wavelength range of light to reflect off a semiconductor wafer being processed. Relatively narrow wavelength ranges can be monitored within this wide reflected wavelength range in order to produce an endpoint of the process. An indication can be produced which is a function of detected light intensities at multiple wavelength ranges. These indications aid in the determination of an endpoint of a process.
    Type: Grant
    Filed: June 17, 1997
    Date of Patent: June 18, 2002
    Assignee: Luxtron Corporation
    Inventor: Reza Golzarian
  • Patent number: 6383934
    Abstract: A method and apparatus for planarizing a microelectronic substrate. In one embodiment, the method can include planarizing the microelectronic substrate with a fixed abrasive polishing pad while maintaining the pH of a planarizing liquid adjacent the polishing pad at an approximately constant level by buffering the planarizing liquid. The planarizing liquid can include ammonium hydroxide and ammonium acetate, ammonium citrate, or potassium hydrogen phthalate. In another embodiment, the planarizing liquid can have an initially high pH that has a reduced tendency to decrease during planarization. The planarizing liquid can also include agents, such as isopropyl alcohol, ammonium acetate or polyoxy ethylene ether that can increase the wetted surface area of the microelectronic substrate and/or reduce drag force imparted to the microelectronic substrate by the polishing pad.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: May 7, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Gundu M. Sabde, James J. Hofmann, Michael J. Joslyn, Whonchee Lee
  • Patent number: 6362105
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the microelectronic substrate can include a semiconductor base, a first material, such as an oxide, disposed on the base, a second material, such as a nitride, disposed on the first material to stiffen the first material, and an endpointing material, such as polysilicon, disposed on the second material. The endpointing material can have a hardness and/or a fracture resistance that is less than a hardness and/or a fracture resistance of the stiffening material and, in one embodiment, can have a coefficient of friction that is different than surrounding material of the microelectronic substrate so as to be detected when exposed to a planarizing medium.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: March 26, 2002
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Patent number: 6361646
    Abstract: An apparatus to generate an endpoint signal to control the polishing of thin films on a semiconductor wafer surface includes a through-hole in a polish pad, a light source, a fiber optic cable, a light sensor, and a computer. A pad assembly includes the polish pad, a pad backer, and a pad backing plate. The pad backer includes a pinhole and a canal that holds the fiber optic cable. The pad backer holds the polish pad so that the through-hole is coincident with the pinhole opening. A wafer chuck holds a semiconductor wafer so that the surface to be polished is against the polish pad. The light source provides light within a predetermined bandwidth. The fiber optic cable propagates the light through the through-hole opening to illuminate the surface as the pad assembly orbits and the chuck rotates. The light sensor receives reflected light from the surface through the fiber optic cable and generates reflected spectral data. The computer receives the reflected spectral data and calculates an endpoint signal.
    Type: Grant
    Filed: March 18, 1999
    Date of Patent: March 26, 2002
    Assignee: Speedfam-IPEC Corporation
    Inventors: Thomas Frederick Allen Bibby, Jr., John A. Adams, Robert A. Eaton
  • Patent number: 6360687
    Abstract: A wafer flattening system is provided to consecutively and automatically remove the natural oxide film from a wafer and flatten and smooth the wafer so as to improve the surface roughness of the wafer and improve the work efficiency. A step of immersing the wafer in an aqueous solution of hydrofluoric acid of a natural oxide film removing device is performed so as to remove the natural oxide film, then followed by a step of locally etching the surface of the wafer at a local etching apparatus by an activated species gas produced from SF6 gas to flatten the surface. Then, a step of giving a mirror finish to the wafer surface by a CMP apparatus is performed to smooth it.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: March 26, 2002
    Assignees: SpeedFam-IPEC Co., Ltd
    Inventors: Michihiko Yanagisawa, Takeshi Sadohara, Chikai Tanaka, Shinya Iida, Yasuhiro Horiike
  • Publication number: 20020033379
    Abstract: The present invention is to provide a hydrophilic treating method of the surface of metal comprising, the first process which treat the surface of metal with a chemical conversion solution to form a chemical conversion film on the metal surface while etching the metal surface and the second process which remove said film formed on the surface of metal to obtain rougher surface, and the final process which forms a hydrophilic film on the surface of metal. Desirably the surface roughness indicated by Rz after above mentioned second process is rougher than 1.5 &mgr;m.
    Type: Application
    Filed: August 1, 2001
    Publication date: March 21, 2002
    Applicant: Nihon Parkerizing Co., Ltd.
    Inventors: Hiroki Hayashi, Mitsuhiro Matsumoto, Hiroyuki Iizuka
  • Patent number: 6350361
    Abstract: Precise control of deposition or etching of thin films on a transparent substrate is particularly useful for electroformation of nozzles and formation control. A computer based measuring system is used to measure, in real time, a test feature such as one such nozzle. The rate of material deposition and removal is controlled based on the measured value of the test feature. In particular, a video camera and microscope are used to produce images of the test feature. During the electroplating process, metal is plated onto a conductive layer, and as the plated metal layer grows up from the conductive layer of the mandrel, the plated layer can also encroach on transparent openings produced by the absence of the mandrel conductive layer. The amount of encroachment on the transparent openings is directly related to the thickness of the plated layer.
    Type: Grant
    Filed: February 17, 1999
    Date of Patent: February 26, 2002
    Assignee: Scitex Digital Printing, Inc.
    Inventors: Richard W. Sexton, James E. Harrison, Jr., Randy L. Fagerquist
  • Patent number: 6342166
    Abstract: A method of detecting an end point of polishing of a wafer, comprising the steps of: using a color identifying sensor for recognizing a color component of light by applying light from a light source and by converging reflected light to an optical fiber to cause the color identifying sensor to previously recognize a color component of a substance of a wafer which must be polished; displaying an ON-state when the color component is recognized and an OFF-state when the color component is not recognized; one point (except for the central point) of the surface of the rotating wafer is irradiated with light emitted from the color identifying sensor to cause the color identifying sensor to detect the number of times (m) of off-states; and determining an end of polishing of the wafer when the detected number of times (m) coincides with the number (n) of off-states indicating an optimum end point of polishing of the wafer.
    Type: Grant
    Filed: December 6, 1999
    Date of Patent: January 29, 2002
    Assignee: Nikon Corporation
    Inventors: Satoru Ide, Kiyoshi Tanaka, Toshihiro Itho
  • Patent number: 6323046
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the apparatus may include a species analyzer that receives a slurry resulting from the planarization process and analyzes the slurry to determine the presence of an endpointing material implanted beneath the surface of the microelectronic substrate. The species analyzer may include a mass spectrometer or a spectrum analyzer. In another embodiment, the apparatus may include a radiation source that directs impinging radiation toward the microelectronic substrate, exciting atoms of the substrate, which in turn produce an emitted radiation. A radiation detector is positioned proximate to the substrate to receive the emitted radiation and determine the endpoint by determining the intensity of the radiation emitted by the endpointing material.
    Type: Grant
    Filed: August 25, 1998
    Date of Patent: November 27, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6315917
    Abstract: The invention provides a method for decreasing endpoint detection noise in a chemical-mechanical polishing process. In this method an anti-reflective layer is formed on the material whose reflected light interferes with the incident light. The anti-reflective layer can avoid light reflected by the material that would affect the detector. Thus, the end point of the chemical-mechanical polishing process can be easily verified and the quality of the devices is improved.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: November 13, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Shiou Shieh
  • Patent number: 6316276
    Abstract: A method of planarizing a semiconductor that includes (i) a substrate material, (ii) a first reflective substance positioned on the substrate material, (iii) an intermediate material positioned on the first reflective substance, wherein a channel is defined in a structure which includes the substrate, the first reflective substance, and the intermediate material, and (iv) a second reflective substance positioned on the intermediate material and in the channel is disclosed.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: November 13, 2001
    Assignee: LSI Lgoic Corporation
    Inventors: John W. Gregory, Derryl D. J. Allman
  • Patent number: 6309555
    Abstract: A method for real-time detecting the thickness of a material layer. A reflected light is measured of an incident light emitted toward the material layer. By integrating the intensity of the reflected light along the time axis, followed by dividing by the product of the derivative of the intensity of the reflected light and the polishing time, an I-Dt transformation curve can be obtained. Since the I-Dt transformation curve has characteristics associated with a cosecant function, which has salient peaks on the curve, the thickness of the material layer can be real-time determined. Furthermore, due to the facts that the transformed curve has salient peaks, the function itself reveals the sign of the slope, and the transformed curve are relatively flat between peaks, correct and stable rules can therefore be provided to determine the analytical endpoint.
    Type: Grant
    Filed: March 1, 1999
    Date of Patent: October 30, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Hsueh-Chung Chen
  • Publication number: 20010009245
    Abstract: In one aspect, the invention includes a method of removing at least a portion of a material from a substrate, comprising: a) first etching the material in a reaction chamber; b) second etching the material in the reaction chamber; and c) cleaning a component of the material from at least one sidewall of the reaction chamber between the first etching and the second etching.
    Type: Application
    Filed: February 28, 2001
    Publication date: July 26, 2001
    Inventor: Tuman Earl Allen
  • Publication number: 20010007772
    Abstract: The invention discloses an apparatus for detecting the presence of a chemical in a gaseous state, having:
    Type: Application
    Filed: August 4, 1998
    Publication date: July 12, 2001
    Inventors: LEPING LI, JAMES A. GILHOOLY, CLIFFORD O. MORGAN, CONG WEI, WERNER MOSER, MATTHIAS KUTTER, JOSEPH KNEE, WALTER IMFELD, BRUNO GREUTER, HEINZ STUNZI
  • Patent number: 6255123
    Abstract: In one aspect, the invention encompasses a semiconductor processing method. A layer of material is provided over a substrate and reacted in a solution to remove at least some of the material. The reacting comprises a reaction chemistry that alters a concentration of a species in the solution. An absorbance of the solution is monitored for at least one wavelength of light that the species absorbs, and a concentration of the species in the solution is monitored by the monitoring of the absorbance. The concentration of the species in the solution is adjusted utilizing information obtained from the absorbance monitoring. In another aspect, the invention encompasses a semiconductor processing method wherein a layer of material is provided over a substrate and reacted with a solution to remove at least some of the material. The reaction consumes a component of the solution, and an absorbance of the solution is monitored for at least one wavelength of light that the consumed component absorbs.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: July 3, 2001
    Inventor: Kenneth P. Reis
  • Patent number: 6251784
    Abstract: A method and apparatus are described for detecting an endpoint of a film removal process in which a target film overlying a stopping film is removed. A chemical reaction product is generated from at least one of the target film and the stopping film; this chemical reaction product is converted to a separate product. The separate product is exposed to ionizing radiation. The ionization current generated by the radiation is monitored as the target film is removed. A change in the ionization current corresponds to a change in concentration of the separate product, thereby indicating the endpoint of the film removal process. In the particular case of removal of a silicon dioxide film overlying a silicon nitride film by chemical-mechanical polishing, the reaction product is ammonia extracted from the polishing slurry. The ammonia is converted to ammonium chloride by a reaction with hydrogen chloride vapor.
    Type: Grant
    Filed: December 8, 1998
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Leping Li, James Albert Gilhooly, Clifford Owen Morgan, Cong Wei
  • Patent number: 6251787
    Abstract: Eliminating exposure of PN junctions to light capable of invoking a photovoltaic effect and/or inhibiting the oxidation and reduction reactions induced by the photovoltaic effect prevents the electrochemical dissolution of metal components on semiconductor devices by electrolysis. A darkened enclosure for use on tools for wafer CMP, brush cleaning, unloading, and rinsing will eliminate exposure. Alternatively, illumination of a semiconductor wafer can be limited to wavelengths of light that do not provide enough energy to induce a photovoltaic effect. An inhibitor in the CMP slurry and/or post-CMP water rinse blocks the oxidation and/or reduction reactions. A blocking agent, such as a high molecular weight surfactant, will interfere with both the oxidation and reduction reactions at the metal surface. Also, a poisoning agent will impede the reduction portion of electrolysis.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Wilma J. Horkans, Stephen E. Luce, Naftall E. Lustig, Keith R. Pope, Peter D. Roper