By Optical Means Or Of An Optical Property Patents (Class 216/85)
  • Patent number: 6245248
    Abstract: A method of aligning a nozzle plate with a mask, used for aligning a plurality of nozzles on the nozzle plate with a plurality of layouts on the mask, wherein each nozzle is defined between two nozzle positioning marks, the nozzle plate is provided with a film thereon, and the mask has a plurality of layouts defined between two layout positioning marks, including steps of: selecting two of the nozzle positioning marks, removing portion of the film above the two nozzle positioning marks with a chemical agent, arranging the mask on the nozzle plate, and moving the mask so as to make the two nozzle positioning marks each align with a respective one of layout positioning marks.
    Type: Grant
    Filed: November 2, 1998
    Date of Patent: June 12, 2001
    Assignee: DBTEL Incorporated
    Inventor: Michael Mou
  • Patent number: 6238589
    Abstract: Monitoring techniques have been developed for direct/indirect determination of metal etching bath components and for managing their replenishment. The disclosed methods have been successfully employed to make TiW etching a robust process that provides minimized and controlled undercutting of ball limited metallurgy and mechanical reliable C4s. A metal etching solution is monitored and replenished by measuring the sulfate concentration of a hydrogen peroxide, soluble salt, and soluble EDTA salt etchant. Turbidimetric titration conditions are used to measure and compare opaqueness of liquids by viewing light through them and determining how much light is cut off. Additional sulfate is added to maintain the sulfate concentration. Water and/or fresh etchant is added to compensate for evaporation or drag.
    Type: Grant
    Filed: August 21, 1998
    Date of Patent: May 29, 2001
    Assignee: International Business Machines Corporation
    Inventors: Emanuel Israel Cooper, Madhav Datta, Thomas Edward Dinan, Jr., Thomas Safron Kanarsky, Michael Barry Pike, Ravindra Vaman Shenoy
  • Publication number: 20010001459
    Abstract: A diffuser master is provided which is manufactured mechanically instead of holographically. The master can be made from a suitable substrate including relatively hard materials such as plastic, glass or metal. A substrate having a first side is worked to form a diffuser surface relief structure thereon. The substrate can be buffed using a buffing agent of a selected grit in order to form surface scratches in the first side of the substrate. The substrate can also be blasted with shot particles in order to form indentations and depressions in the first side. The substrate can alternatively be acid or alkali etched in order to form surface irregularities in the first side. The scratches, depressions or irregularities can be formed in order to create a desired surface relief and hence desired diffuser output characteristics.
    Type: Application
    Filed: January 12, 2001
    Publication date: May 24, 2001
    Applicant: Physical Optics Corporation
    Inventors: Gajendra D. Savant, Kevin H. Yu, Andrew A. Kostrzewski
  • Patent number: 6235147
    Abstract: There is provided a wet-etching facility for manufacturing semiconductor devices, wherein the etching process is performed for a wafer with its used surface facing downward so that the by-products from the etching process are completely removed from the etching groove of the wafer by gravity, and the impurities on the back side of the wafer are sank down, and are not touched to the used surface of the other wafer thereby producing good quality of wafers.
    Type: Grant
    Filed: September 28, 1999
    Date of Patent: May 22, 2001
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Seung-kun Lee, Jae-hyung Jung, Young-hwan Yun, Gyu-hwan Kwag
  • Patent number: 6203659
    Abstract: A photoresist stripper bath includes a filter in a re-circulation line for filtering residual photoresist materials from said bath. The quality of the stripper and the concentration of the photoresist materials are sensed by passing infrared light transversely through the re-circulating line, detecting the intensity of the light passed through the line and comparing the detected level with a threshold value related to the concentration of the photoresist materials in said stripper.
    Type: Grant
    Filed: March 30, 1999
    Date of Patent: March 20, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yun-Hung Shen, Pin-Yin Shin, Shih-Chun Huang, Yu-Lun Lin
  • Patent number: 6193899
    Abstract: System and method provide for optical alignment of an upper film or substrate to a lower film or substrate. The upper film or substrate has an aperture and the lower film or substrate has a set of four apertures. A light is shone on the upper film or substrate at the point above the set of four apertures in the lower film or substrate. A photodetector, which is divided into four quadrants, is positioned beneath the lower film or substrate. Each quadrant of the photodetector detects the amount of light traveling through a different one of the four apertures. The position of the upper film is adjusted until each quadrant detects a substantially equal amount of light. When each quadrant detects a substantially equal amount of light, the aperture in the upper film or substrate is centered over the set of four apertures in the lower film or substrate, and the upper film or substrate is aligned with the lower film or substrate.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: February 27, 2001
    Inventor: Albert H. Ohlig
  • Patent number: 6193900
    Abstract: A method for sensing the etch of distributed Bragg reflector (called DBR below) in a real time is provided. More particularly, a method for searching informations of etch speed and etch stop step by monitoring the etching procedure in the wet etching method which is a post-process in the semiconductor device manufacturing process. A laser beam is irradiated on the sample sunk in the etching solution during the etching process is on the way. Then, computer measures the intensity of laser beam reflected on the sample, analyzes the periodic signals occurred by its interference and obtains the etching speed of the sample in a real time. The laser provides thermal energy on the sample during wet etching and occurs irregular etching speed on a beam contacting part of sample and non contacting part. Uniform etching speed can be obtained in the entire sample using a convex lens having a suitable focal distance.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: February 27, 2001
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jong Hyeob Baek, Bun Lee
  • Patent number: 6183656
    Abstract: A method of detecting an end point of polishing arranged to perform CMP polishing with which an insulating layer of a wafer incorporating a substrate having a metal-containing permalloy layer formed thereon and the insulating layer formed on the metal-containing permalloy layer is chemimechanically polished to expose the flat permalloy layer, the method of detecting an end point of polishing, having the steps of: collecting abrasive material slurry on a surface plate for polishing as a continuous flow from the start or during CMP polishing; continuously mixing a color developing reagent with the collected continuous flow to prepare a specimen for the continuos flow; reading the color of the specimen as a digital value (Ii) by a color identifying sensor; and determining a moment of time at which the digital value (Ii) reaches a digital value (Io) of a specimen of a waste flow of the abrasive material slurry at the end of polishing to be the end of CMP polishing.
    Type: Grant
    Filed: March 6, 2000
    Date of Patent: February 6, 2001
    Assignee: Okamoto Machine Tool Works, Ltd.
    Inventors: Satoru Ide, Tsutomu Yamada, Norio Hayashi
  • Patent number: 6156220
    Abstract: System and method provide for optical alignment of an upper film or substrate to a lower film or substrate. The upper film or substrate has an aperture and the lower film or substrate has a set of four apertures. A light is shone on the upper film or substrate at the point above the set of four apertures in the lower film or substrate. A photodetector, which is divided into four quadrants, is positioned beneath the lower film or substrate. Each quadrant of the photodetector detects the amount of light traveling through a different one of the four apertures. The position of the upper film is adjusted until each quadrant detects a substantially equal amount of light. When each quadrant detects a substantially equal amount of light, the aperture in the upper film or substrate is centered over the set of four apertures in the lower film or substrate, and the upper film or substrate is aligned with the lower film or substrate.
    Type: Grant
    Filed: March 10, 1997
    Date of Patent: December 5, 2000
    Inventor: Albert H. Ohlig
  • Patent number: 6153116
    Abstract: A method of monitoring the state of chemical-mechanical polishing that can be applied to the polishing of a metallic layer over a substrate. The method includes performing a series of scanning operations while a wafer is being polished to generate multiple reflectance line spectra in each polishing period. The degree of dispersion of the reflectance spectra is then utilized as a polishing index. In this invention, the standard deviation of the reflectance spectra in each period is used as a monitoring index, and the peak value of the standard deviation is used to determine the polishing end point. Surface uniformity is monitored by using the time interval between two time nodes at half the peak standard deviation values. When the distance of separation between the two time nodes is large, it means that the polished surface is not sufficiently flat.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: November 28, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Ming-Cheng Yang, Feng-Yeu Shau, Cheng-Sung Huang, Champion Yi
  • Patent number: 6143667
    Abstract: A method and apparatus for using photoemission to determine the endpoint of a dry etch process. In one embodiment, the endpoint of a dry etch process is determined when the dry etch process is acting on a substrate comprising a layer of a first material overlying a second material. The substrate is illuminated with a beam of monochromatic light. The photon energy of the monochromatic light is greater than the work function of one of the two materials, and less than the work function of the other material. Thus the beam of light is capable of inducing photoemission of electrons in only one of the two materials: the material with a work function less than the photon energy of the beam of light. The electrons emitted by the photoemitting so material are collected. The current generated by the collected stream of electrons, the photocurrent, is amplified. A time-series of amplified photocurrent measurements is monitored for changes that correspond to the endpoint of the dry etch process.
    Type: Grant
    Filed: June 28, 1999
    Date of Patent: November 7, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 6130163
    Abstract: A method of reducing agglomerated particles in a slurry for use in a chemical mechanical polishing (CMP) machine, the CMP machine also using deionized water, is disclosed. The method comprises the steps of: monitoring the pH of the slurry that is provided to the CMP machine; monitoring the pH of the deionized water that is provided to the CMP machine; and adjusting the pH of the deionized water to be substantially the same as the pH of the slurry.
    Type: Grant
    Filed: June 3, 1999
    Date of Patent: October 10, 2000
    Assignees: ProMOS Technologies, Inc., Mosel Vitelic, Inc., Infineion AG
    Inventors: Champion Yi, Ching-feng Tsai, Jiun-Fang Wang
  • Patent number: 6126848
    Abstract: Detection of the endpoint for removal of a target film overlying a stopping film by removing the target film with a process that selectively generates a chemical reaction product (for example ammonia when polishing a wafer with a nitride film in a slurry containing KOH) with one of the stopping film and the target film, converting the chemical reaction product to a separate product, producing excited molecules from the separate product, and monitoring the level of light emitted from the excited molecules as the target film is removed.
    Type: Grant
    Filed: May 6, 1998
    Date of Patent: October 3, 2000
    Assignee: International Business Machines Corporation
    Inventors: Leping Li, James Albert Gilhooly, Clifford Owen Morgan, III, William Joseph Surovic, Cong Wei
  • Patent number: 6126844
    Abstract: A glass or metal wire is precisely etched to form the paraboloidal or ellipsoidal shape of the final desired capillary optic. This shape is created by carefully controlling the withdrawal speed of the wire from an etchant bath. In the case of a complete ellipsoidal capillary, the etching operation is performed twice in opposite directions on adjacent wire segments. The etched wire undergoes a subsequent operation to create an extremely smooth surface. This surface is coated with a layer of material which is selected to maximize the reflectivity of the radiation. This reflective surface may be a single layer for wideband reflectivity, or a multilayer coating for optimizing the reflectivity in a narrower wavelength interval. The coated wire is built up with a reinforcing layer, typically by a plating operation. The initial wire is removed by either an etching procedure or mechanical force. Prior to removing the wire, the capillary is typically bonded to a support substrate.
    Type: Grant
    Filed: November 18, 1998
    Date of Patent: October 3, 2000
    Inventor: Gregory Hirsch
  • Patent number: 6117780
    Abstract: The present invention discloses a chemical mechanical polishing method with in-line thickness detection. First, the semiconductor wafer is loaded into CMP equipment and is putted on a loading table for the preparation of a CMP process. The CMP process is performed on the wafer for polishing. The CMP process is interrupted and the thickness of a polished thin film layer is detected by using an in-line thickness measurement technique. The thickness is determined whether or not being accepted by a specification of the CMP process. As the thickness is accepted by the specification, the wafer is cleaned, dried and moved out from the CMP equipment. Alternatively, the thickness is not accepted by the specification, it must be determined whether or not the thickness is less than the low limit of the specification. As the thickness is smaller than the low limit, the wafer is cleaned, dried after it is moved out from the CMP equipment.
    Type: Grant
    Filed: April 22, 1999
    Date of Patent: September 12, 2000
    Assignee: Mosel Vitelic Inc.
    Inventors: Kuei-Chang Tsai, Chin-Hsiang Chang, Li-Chun Hsien, Yun-Liang Ouyang
  • Patent number: 6103177
    Abstract: A mastering apparatus for recording optical information onto a glass master 6, includes an objective lens 3, a slider 5 for controlling the movement of the objective lens 3 in the tracking direction, a turn table 7 for rotating the glass master 6, a spindle motor 8 for controlling the rotation of the turn table 7, a positioning beam generator 9, a positioning beam detector 10 for detecting a positioning beam reflected and/or diffracted by positioning units 16 and 17 and a slider controller 11 for moving the slider 5 based on the result of reception of a beam at the positioning beam detector 10. The positioning unit 16 is mounted on the vicinity of the objective lens 3, and the positioning unit 17 is mounted on the upper surface of a spindle stationary portion 13. Since the position of the positioning unit 17 is always stable, relative positional deviation between the positioning units 16 and 17 can be accurately detected by detecting the beam diffracted by the positioning units 16 and 17.
    Type: Grant
    Filed: December 2, 1998
    Date of Patent: August 15, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Jun Nishida, Nobutaka Kikuiri
  • Patent number: 6077452
    Abstract: In a process of selectively removing material from an exposed layer carried by a substrate, a technique for determining endpoint by monitoring the intensity of a radiation beam that is passed through the substrate and any intervening layers to be reflected off the layer being processed. This monitoring technique is used during photoresist developing, wet etching, and mechanical planarization and polishing during the manufacture of integrated circuits on semiconductor wafers, flat panel displays on glass substrates, and similar articles. Planarization and polishing processes are alternatively monitored by monitoring temperature.
    Type: Grant
    Filed: April 14, 1999
    Date of Patent: June 20, 2000
    Assignee: Luxtron Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 6074950
    Abstract: An alignment strategy for asymmetrical alignment marks in a wafer, in which the positions of the a symmetrical alignment marks are determined twice. A first set of positions is detected after a chemical-mechanical polishing step. A second set of positions is detected after a rotation in which the wafer is rotated by 180.degree. in the plane of the surface of the wafer.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: June 13, 2000
    Assignee: United Integrated Circuits Corp.
    Inventor: Chi-Hung Wei
  • Patent number: 6066266
    Abstract: A process for compensating for degradation of a first polishing pad during polishing on the first polishing pad of a plurality of substrate surfaces that have substantially similar film stacks is described. The process includes: (a) characterizing a test polishing pad, which characterization includes determining changes in film removal rates of layers of the film stack during polishing of the plurality of the substrate surfaces on the test polishing pad; (b) polishing a first substrate surface on the first polishing pad, which is substantially similar to the test polishing pad, under a first set of polishing conditions; and (c) polishing a second substrate surface on the first polishing pad under a second set of polishing conditions. A difference between the second set of polishing conditions and the first set of polishing conditions is designed to minimize the changes in the film removal rates of the layers of the film stack and thereby compensate for degradation of the first polishing pad.
    Type: Grant
    Filed: July 8, 1998
    Date of Patent: May 23, 2000
    Assignee: LSI Logic Corporation
    Inventors: Richard S. Osugi, Ronald J. Nagahara, Dawn M. Lee
  • Patent number: 6046111
    Abstract: A method and apparatus for endpointing mechanical and chemical-mechanical planarization of semiconductor wafers, field emission displays and other microelectronic substrates. In one application in which a microelectronic substrate is planarized against a planarizing medium defined by a planarizing fluid and a polishing pad, one method of endpointing the planarizing process in accordance with the invention includes increasing the viscosity of the planarizing fluid between the substrate and the polishing pad as the substrate becomes substantially planar. The endpointing method continues by detecting a change in drag or frictional force between the substrate and the planarizing medium, and then stopping removal of material from the substrate when the rate that the friction increases between the substrate and the planarizing medium changes from a first rate to a second rate greater than the first rate.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: April 4, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Karl M. Robinson
  • Patent number: 6042736
    Abstract: The present invention provides a method for preparing samples for microscopic examination that requires a glass slide to be laminated to a sample substrate by an adhesive layer for polishing in a sample polishing process. A cavity can be first formed in the surface of the substrate by a focused ion beam technique to reveal a characteristic feature which needs to be examined. A wax-based material is then used to fill the cavity and to protect the characteristic feature before an adhesive layer is applied on top of the substrate for bonding a glass slide to the substrate. After the sample is sectioned in the polishing process to reveal a new cross-section that contains the characteristic feature, the protective coating of the wax-based material can be removed by a suitable solvent such that the characteristic feature is ready for microscopic examination. A suitable wax-based material can be a wax that is similar to a candle wax which can be easily removed by acetone.
    Type: Grant
    Filed: November 17, 1997
    Date of Patent: March 28, 2000
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Lee Chung
  • Patent number: 6000996
    Abstract: A grinding process monitoring system and grinding process monitoring method for monitoring progress of a grinding process by measuring thickness of a film applied to a substrate surface and undergoing the grinding process. A spectrum measuring device for measuring a spectrum of light reflected from the substrate surface has a measuring window opposed to the substrate surface. A measurement region between the measuring window and the substrate surface is filled with a cleaning liquid to form a liquid curtain in the measurement region. While examining bubble characteristic variations occurring in the spectrum due to bubbles present in the measurement region, a moving device is controlled to adjust a spacing between the measuring window and the substrate surface by feedback control to eliminate the bubble characteristic variations.
    Type: Grant
    Filed: January 28, 1998
    Date of Patent: December 14, 1999
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Nariaki Fujiwara
  • Patent number: 5993681
    Abstract: A method and apparatus for achieving alignment between a spray etcher nozzle and a semiconductor (either microelectronic or optoelectronic, for example) wafer surface. A spray nozzle tip is temporarily removed from the spray nozzle and an illumination source, such as a low power laser, is activated and inserted in its place. The laser emission illuminates the wafer surface and, by adjusting the position of the nozzle, alignment between the nozzle and wafer can be achieved. Once aligned, the nozzle is locked in place and the laser is replaced with the conventional spray nozzle tip.
    Type: Grant
    Filed: May 8, 1998
    Date of Patent: November 30, 1999
    Assignee: Lucent Technology, Inc.
    Inventor: Kenneth Gerard Glogovsky
  • Patent number: 5945349
    Abstract: A method of enabling analysis of defects of a semiconductor with three dimensions includes the steps of: coating a photoresist film on the passivation layer except a predetermined portion of the passivation layer including a portion where the defects exist; coating a vinyl film on the photoresist film and on the side of the wafer; removing the passivation layer on the second metal interconnect; and removing an insulating layer formed between two metal interconnects using a selective wet etching. The defects existing in the metal interconnects remaining after etching of the passivation layer and insulating layer are thereby observable, e.g., with a scanning electron microscope or equivalent, the wafer being set on a holder of the scanning electron microscope and being changed in tilt and rotational angles whereby the analysis is enabled.
    Type: Grant
    Filed: July 19, 1996
    Date of Patent: August 31, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Jeong-Hoi Koo
  • Patent number: 5943549
    Abstract: The method of evaluating silicon wafers according to this invention is capable of predicating degradation of the quality of oxide film insulation, which is incurred, on the silicon wafers, by process faults or local residual strains undetectable by the naked eye. The method includes the following steps of: removing selectively a surface of a silicon wafer treated by mirror polishing by using an etching selectivity caused by an unordinary surface state; counting the number of etch pits on the surface of the silicon wafer with the aid of an optical microscope; and judging the quality of the silicon wafer based on the etch pit density, which is calculated from the above number of etch pits, and the threshold value of etch pit density. The threshold value of etch pit density of the silicon wafer treated by selective etching is set to be below 5.times.10.sup.5 pits/cm.sup.2, and improvements to the processing of production lines relating to low-quality silicon wafers can be made.
    Type: Grant
    Filed: December 29, 1997
    Date of Patent: August 24, 1999
    Assignee: Komatsu Electronics Metals Co., Ltd.
    Inventors: Hisami Motoura, Kouichirou Hayashida
  • Patent number: 5935451
    Abstract: Disclosed is a method of fabricating electronic components on a semiconductor substrate by etching features in the substrate through a mask including apertures which are separated by a prescribed spacing. Etching is continued until the etched features merge into a single channel. This technique can be used to form channels having nonuniform shapes, or could be used to monitor the end point of an etching operation.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: August 10, 1999
    Assignee: Lucent Technologies Inc.
    Inventors: Mindaugas Fernand Dautartas, Yiu-Huen Wong
  • Patent number: 5925260
    Abstract: Polyimide is used with a semiconductor wafer with a number of dies with circuitry formed thereon. A layer of polyimide is formed on the semiconductor wafer. The wafer is inspected to determine whether the layer of polyimide was formed in a desired pattern. Based on the results of the inspection, the layer of polyimide is removed. A layer of polyimide is removed from a semiconductor substrate with circuitry formed thereon. The semiconductor substrate is provided in a container with a solvent. Energy is introduced to the substrate from a frequency-based energy source. A rinse agent is applied to the substrate.
    Type: Grant
    Filed: January 2, 1997
    Date of Patent: July 20, 1999
    Assignee: Micron Technology, Inc.
    Inventor: Tongbi Jiang
  • Patent number: 5925575
    Abstract: A process for forming a planarized, insulator, or silicon oxide filled shallow trench has been developed. The process features a hybrid planarization procedure, comprised of an initial dry etching cycle, used to remove all but about 100 to 500 Angstroms of silicon oxide, from subsequent device regions, or regions outside the insulator filled trench. Silicon oxide residing on the insulator filled trench is protected by a photoresist shape. A final chemical mechanical polishing procedure is than employed to remove both the silicon oxide, on the insulator filled shallow trench, as well as removing the remaining silicon oxide on silicon nitride, in subsequent device regions. An endpoint monitoring procedure allows the detection of the remaining 100 to 500 Angstroms of silicon oxide, on silicon nitride.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: July 20, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hun-Jan Tao, Chia-Shiung Tsai
  • Patent number: 5891352
    Abstract: In a process of selectively removing material from an exposed layer carried by a substrate, a technique for determining endpoint by monitoring the intensity of a radiation beam that is passed through the substrate and any intervening layers to be reflected off the layer being processed. This monitoring technique is used during photoresist developing, wet etching, and mechanical planarization and polishing during the manufacture of integrated circuits on semiconductor wafers, flat panel displays on glass substrates, and similar articles. Planarization and polishing processes are alternatively monitored by monitoring temperature.
    Type: Grant
    Filed: June 11, 1997
    Date of Patent: April 6, 1999
    Assignee: Luxtron Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 5851842
    Abstract: The measurement system comprises a holder for holding a dielectric film formed on at least a semiconductive substrate and sandwiched between the substrate and a conductive film, voltage application terminals for applying voltage between the substrate and the conductive film, variable voltage source for supplying the voltage to the voltage application terminals, a light source for irradiating the dielectric film with light including wavelength of an infrared region and transmitting the light through the dielectric film, light absorbance detector receiving the light transmitted through the dielectric film, for detecting absorbance of an absorbed light component in an absorption wavelength region intrinsic to the dielectric film, and a potential difference measurement unit for measuring a potential difference between the substrate and the conductive film of the dielectric film on the basis of change in absorbance of the light component when the voltage is changed by the variable voltage source.
    Type: Grant
    Filed: May 15, 1997
    Date of Patent: December 22, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryota Katsumata, Nobuo Hayasaka, Naoki Yasuda, Hideshi Miyajima, Iwao Higashikawa, Masaki Hotta
  • Patent number: 5843322
    Abstract: A process for etching single crystal silicon semiconductor material of the N, P, N+ and P+ type slugs and wafers to delineate slip, lineage, dislocation, S-pit, twins, swirl and oxidation induced stacking fault defects involves the steps of:a) preparing a substantially metal-free etchant bath comprising nitric acid (70%), hydrofluoric acid (49%) and between approximately 35% to 98% by weight of glacial acetic acid (HAc) as a diluent, the minimum useful concentration of nitric acid being% Nitric acid.sub.min. =16.67?1-(% HAc/100)! and the maximum useful concentration of nitric acid being% Nitric acid.sub.max. =66.67?1-(% HAc/100)!, the minimum useful concentration of hydrofluoric acid being% HF.sub.min. =100%-% HAc % HNO.sub.3 max. and the maximum useful concentration of hydrofluoric acid being% HF.sub.max. -100%-% HAc-HNO.sub.2min.b) activating the etchant bath by generating NO.sub.x therein and allowing the temperature of the bath to rise to approximately 25.degree. to 34.degree. C.
    Type: Grant
    Filed: December 23, 1996
    Date of Patent: December 1, 1998
    Assignee: MEMC Electronic Materials, Inc.
    Inventor: Thomas C. Chandler, Jr.
  • Patent number: 5834375
    Abstract: An improved and new process for chemical-mechanical polishing (CMP) of a substrate surface, wherein the endpoint for the planarization process is detected by monitoring the ratio of the rate of insulator material removal over a pattern feature to the rate of insulator material removal over an area without an underlying pattern feature, has been developed.
    Type: Grant
    Filed: August 9, 1996
    Date of Patent: November 10, 1998
    Assignee: Industrial Technology Research Institute
    Inventor: Lai-Juh Chen
  • Patent number: 5795490
    Abstract: Nitric acid is used to etch the air-bearing-surface (alumina titanium carbide) of a slider, the air-bearing-surface overcoat and adhesive layer formed thereon being worn out, sa as to reduce the optical reflectance of the region where the air-bearing-surface substrate is exposed. The air-bearing-surface of the slider is optically imaged and the resulting image processed by a computer to determine the surface area of the region where both the adhesive layer and the air-bearing-surface overcoat are worn out, having reduced optical reflectance. Then, the adhesive layer is removed by means of hydrofluoric acid treatment and etching is again done with nitric acid to create another region with a reduced optical reflectance, enabling determination of the surface area of the region over which only the air-bearing-surface overcoat is worn out.
    Type: Grant
    Filed: March 28, 1997
    Date of Patent: August 18, 1998
    Assignee: NEC Corporation
    Inventor: Satoru Momose
  • Patent number: 5788853
    Abstract: A method for microscopical observation of an amorphous specimen. The method comprises the steps of providing a substrate having at least one outer surface that defines an optically flat and predetermined geometric configuration, including at least one discontinuity; disposing an amorphous specimen in contact with the discontinuity of the substrate; and, selecting a virtual focus point which can act as a referent point on a defocus position axis, thereby maximizing the phase-contrast of the specimen.
    Type: Grant
    Filed: February 29, 1996
    Date of Patent: August 4, 1998
    Assignee: International Business Machines Corporation
    Inventor: Frederic Zenhausern
  • Patent number: 5772903
    Abstract: A metal or glass wire is etched with great precision into a very narrowly tapering cone which has the shape of the desired final capillary-optics bore. By controlling the rate of removal of the wire from an etchant bath, a carefully controlled taper is produced. A sensor measures the diameter of the wire as it leaves the surface of the etchant. This signal is used for feedback control of the withdrawal speed. The etched wire undergoes a treatment to produce an extremely low surface-roughness. The etched and smoothed wire is coated with the material of choice for optimizing the reflectivity of the radiation being focused. This could be a vacuum evaporation, sputtering, CVD or aqueous chemical process. The coated wire is either electroplated, built up with electroless plating, or encapsulated in a polymer cylinder such as epoxy to increase the diameter of the wire for easier handling and greater robustness.
    Type: Grant
    Filed: September 27, 1996
    Date of Patent: June 30, 1998
    Inventor: Gregory Hirsch
  • Patent number: 5747201
    Abstract: A method for irradiating a substrate such as a semiconductor substrate, coated with a photoresist, with light to measure variations in optical properties such as reflectivity, refractive index, transmittance, polarization, spectral transmittance, for determining an optimum photoresist coating condition, an optimum photoresist baking condition, an optimum developing condition or an optimum exposure energy quantity, and forming a photoresist pattern according to the optimum condition. A system for the exposure method, a controlling method of forming a photoresist film by use of the exposure method, and a system for the controlling method, are useful for stabilization of the formation or treatment of the photoresist film, and ensure less variations in the pattern size.
    Type: Grant
    Filed: February 22, 1995
    Date of Patent: May 5, 1998
    Assignee: Hitachi, Ltd.
    Inventors: Yasuhiko Nakayama, Masataka Shiba, Susumu Komoriya
  • Patent number: 5710069
    Abstract: A method of sensing a particle in a mixture includes providing (52) the mixture (36) having a particle (29, 30), moving (54) the mixture (36) in a direction, shining (56) a light into a portion of the moving mixture (36), reflecting a portion of the light off of the particle (29, 30) in the moving mixture (36), detecting and measuring (57) the reflected light, and using (58) the measured reflected light to determine a size of the particle (29, 30).
    Type: Grant
    Filed: August 26, 1996
    Date of Patent: January 20, 1998
    Assignee: Motorola, Inc.
    Inventors: Janos Farkas, James Michael Mullins
  • Patent number: 5705435
    Abstract: An improved and new apparatus and process for chemical-mechanical polishing (CMP) the surface of a semiconductor substrate to a planar condition has beed developed. The planarization endpoint, which is independent of topographic pattern density, is detected by monitoring the ratio of the rate of insulator material removal over a pattern feature to the rate of insulator material removal over an area without an underlying pattern feature.
    Type: Grant
    Filed: August 9, 1996
    Date of Patent: January 6, 1998
    Assignee: Industrial Technology Research Institute
    Inventor: Lai-Tuh Chen
  • Patent number: 5698069
    Abstract: A method of detecting particles on a wafer support surface comprising positioning a wafer in a first position on the surface with the wafer in the first position, generating a first pattern on the wafer, and moving the wafer. Then, after moving the wafer, generating a second pattern on the wafer to generate a moire pattern by the interaction of the second pattern with the first pattern. The moire pattern is inspected to identify any visual distortion in the moire pattern due to physical distortion of the wafer caused by a particle on the support surface during the generation of the first pattern. The patterns may be ruled parallel lines, and the second pattern may be moved during inspection to shift the moire pattern to reveal distortions over a wide area.
    Type: Grant
    Filed: December 11, 1996
    Date of Patent: December 16, 1997
    Assignee: Nikon Precision Inc.
    Inventors: Arun A. Aiyer, Kyoichi Suwa
  • Patent number: 5695660
    Abstract: In a process of selectively removing material from an exposed layer carried by a substrate, a technique for determining endpoint by monitoring the intensity of a radiation beam that is passed through the substrate and any intervening layers to be reflected off the layer being processed. This monitoring technique is used during photoresist developing, wet etching, and mechanical planarization and polishing during the manufacture of integrated circuits on semiconductor wafers, flat panel displays on glass substrates, and similar articles. Planarization and polishing processes are alternatively monitored by monitoring temperature.
    Type: Grant
    Filed: March 14, 1996
    Date of Patent: December 9, 1997
    Assignee: Luxtron Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 5695601
    Abstract: A film formed on a wafer is polished in a CMP unit. Thereafter, the wafer, which is adhered to a wafer holder, is moved to a portion above an optical sensor. A surface of the wafer is radiated with, for example, a visible ray, thereby measuring a thickness of the film which has been polished. A control unit automatically sets a polishing time for polishing a film on a wafer to be polished next.
    Type: Grant
    Filed: December 27, 1995
    Date of Patent: December 9, 1997
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masako Kodera, Atsushi Shigeta, Shiro Mishima, Hiromi Yajima, Riichirou Aoki
  • Patent number: 5674410
    Abstract: The purity of a liquid chemical agent is improved simultaneously with obtaining a homogeneous concentration of a specific chemical in the agent, thereby improving the quality of substrate processing steps. Pure water is supplied from a pure water supply (30) into a process tank (1) by opening an opening/closing valve (33) on a pure water supply system (7) and by closing an opening/closing valve (19) on a circulation system (5). After the process tank (1) is completely charged with pure water, the opening/closing valve (33) is closed while the opening/closing valve (19) is opened. This closes a pipe conduit (11) of the circulation system (5) and allows pure water in the process tank (1) to be circulated through the circulation system (5) by a pump (13). A first opening/closing valve (49) on a gas supply system (9) is subsequently opened to allow hydrogen chloride gas from a hydrogen chloride gas supply (40) to be fed into a mixing unit (17) of the circulation system (5).
    Type: Grant
    Filed: July 6, 1994
    Date of Patent: October 7, 1997
    Assignee: Dainippon Screen Manufacturing Co., Ltd.
    Inventors: Kazuo Nakajima, Katsunori Tanaka
  • Patent number: 5656182
    Abstract: The present invention is directed to a process for device fabrication in which a spatially resolved latent image of latent features in an energy sensitive resist material is used to control process parameters. In the present process, an energy sensitive resist material is exposed to radiation using a patternwise or blanket exposure. An image of the latent effects of the exposure is obtained using a near-field imaging technique. This image of the latent effects of the exposure is used to control parameters of the lithographic process such as focus, lamp intensity, exposure dose, exposure time, and post exposure baking by comparing the image so obtained with the desired effects of the exposure and adjusting the relevant lithographic parameter to obtain the desired correlation between the image obtained and the desired effect.
    Type: Grant
    Filed: February 21, 1995
    Date of Patent: August 12, 1997
    Assignee: Lucent Technologies Inc.
    Inventors: Herschel Maclyn Marchman, Anthony Edward Novembre, Jay Kenneth Trautman
  • Patent number: 5597442
    Abstract: An improved and new process for chemical/mechanical planarization (CMP) of a substrate surface, wherein the endpoint for the planarization process is detected by monitoring the temperature of the polishing pad with an infrared temperature measuring device, has been developed. The method allows endpoint to be detected in-situ at the polishing apparatus, without necessity to unload the substrate for visual inspection or performance of specialized, time-consuming, and costly thickness and/or surface topography measurements.
    Type: Grant
    Filed: October 16, 1995
    Date of Patent: January 28, 1997
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Hsi-Chieh Chen, Shun-Liang Hsu
  • Patent number: 5573680
    Abstract: A method of etching a generally planar surface of a semiconductor material to reveal flow pattern defects on the surface, by placing the material in a canted position, ranging from about 5.degree. to about 35.degree. from vertical, such that the generally planar surface of the material faces upwardly. The material is then immersed into a stagnant etchant solution. The surface of the material is etched such that bubbles nucleating at flow pattern defects on the surface of the canted material are released directly into the otherwise stagnant etchant solution.
    Type: Grant
    Filed: August 1, 1994
    Date of Patent: November 12, 1996
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Roger W. Shaw, Joseph C. Holzer
  • Patent number: 5499733
    Abstract: In a process of selectively removing material from an exposed layer carried by a substrate, a technique for determining endpoint by monitoring the intensity of a radiation beam that is passed through the substrate and any intervening layers to be reflected off the layer being processed. This monitoring technique is used during photoresist developing, wet etching, and mechanical planarization and polishing during the manufacture of integrated circuits on semiconductor wafers, flat panel displays on glass substrates, and similar articles. Planarization and polishing processes are alternatively monitored by monitoring temperature.
    Type: Grant
    Filed: September 16, 1993
    Date of Patent: March 19, 1996
    Assignee: Luxtron Corporation
    Inventor: Herbert E. Litvak
  • Patent number: 5462634
    Abstract: An aluminum material is surface-treated with an aqueous solution containing 0.005 mol/lit. or more of a chelating agent and 5 g/lit. or more of an organic amine and having 100 ppm or less of phosphoric acid radical ion concentration and 500 ppm or less of sulfuric acid radical ion concentration, until its surface has a color tone (S) of:S=(X.sup.2 +(3.388Z-3Y.sup.2).sup.1/2.gtoreq.70wherein X, Y and Z represent the tristimulus values of color.The aluminum material can be surface-treated while setting the treating conditions on the basis of a specific color tone detectable by colorimetry, without relying on experience and intuition. The surface-treated aluminum material can have a good anticorrosion, have good color change preventive properties, a good adhesion of coatings and a beautiful surface appearance.
    Type: Grant
    Filed: January 31, 1995
    Date of Patent: October 31, 1995
    Assignees: Honda Giken Kogyo Kabushiki Kaisha, Sky Aluminium Co., Ltd.
    Inventors: Shiro Kamiyama, Masanori Kosugi, Masahiro Kurata, Sadao Shiraishi, Nobuyoshi Sasaki
  • Patent number: 5437761
    Abstract: A lithium niobate crystal wafer wherein the deviations of the maximum and minimum absorption coefficients of the wafer at a wavelength of 2.87 .mu.m from the average absorption coefficient thereof at that wavelength fall within the range of .+-.0.1 cm.sup.-1 exclusive of both borders; a process for the preparation of the same; and a method for the evaluation thereof.
    Type: Grant
    Filed: March 4, 1994
    Date of Patent: August 1, 1995
    Assignee: Nihon Kessho Kogaku Co., Ltd.
    Inventor: Akira Koide