Containing Germanium, Ge Patents (Class 257/616)
  • Patent number: 7495250
    Abstract: A method for forming an etch-stop layer and a resulting structure fabricated therefrom. The etch-stop layer is a silicon-germanium layer having a ratio of silicon to germanium of about 50:1 or less, a boron layer formed within the silicon-germanium layer where the boron layer has a full-width half-maximum (FWHM) thickness value of less than 50 nanometers, and a carbon layer formed within the silicon-germanium layer where the carbon layer has an FWHM thickness value of less than 50 nanometers. A ratio of boron to carbon in the etch-stop layer is in a range of about 0.5 to 1.5.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: February 24, 2009
    Assignee: Atmel Corporation
    Inventor: Darwin G. Enicks
  • Patent number: 7491962
    Abstract: A chalcogenide-based programmable conductor memory device and method of forming the device, wherein a nanoparticle is provided between an electrode and a chalcogenide glass region. The method of forming the nanoparticle utilizes a template over the electrode or random deposition of the nanoparticle.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: February 17, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Jun Liu, Kristy A. Campbell
  • Patent number: 7491612
    Abstract: A field effect transistor with a heterostructure includes a strained monocrystalline semiconductor layer formed on a carrier material, which has a relaxed monocrystalline semiconductor layer made of a first semiconductor material (Si) as the topmost layer. The strained monocrystalline semiconductor layer has a semiconductor alloy (GexSi1-x), where the proportion x of a second semiconductor material can be set freely. Furthermore, a gate insulation layer and a gate layer are formed on the strained semiconductor layer. To define an undoped channel region, drain/source regions are formed laterally with respect to the gate layer at least in the strained semiconductor layer. The possibility of freely setting the Ge proportion x enables a threshold voltage to be set as desired, whereby modern logic semiconductor components can be realized.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: February 17, 2009
    Assignee: Infineon Technologies AG
    Inventor: Klaus Schruefer
  • Publication number: 20090026582
    Abstract: In deposited silicon, n-type dopants such as phosphorus and arsenic tend to seek the surface of the silicon, rising as the layer is deposited. When a second undoped or p-doped silicon layer is deposited on n-doped silicon with no n-type dopant provided, a first thickness of this second silicon layer nonetheless tends to include unwanted n-type dopant which has diffused up from lower levels. This surface-seeking behavior diminishes when germanium is alloyed with the silicon. In some devices, it may not be advantageous for the second layer to have significant germanium content. In the present invention, a first heavily n-doped semiconductor layer (preferably at least 10 at % germanium) is deposited, followed by a silicon-germanium capping layer with little or no n-type dopant, followed by a layer with little or no n-type dopant and less than 10 at % germanium. The germanium in the first layer and the capping layer minimizes diffusion of n-type dopant into the germanium-poor layer above.
    Type: Application
    Filed: July 28, 2008
    Publication date: January 29, 2009
    Applicant: SANDISK 3D LLC
    Inventor: S. Brad Herner
  • Patent number: 7482616
    Abstract: In one embodiment, a phase-change memory device has an oxidation barrier layer to protect against memory cell contamination or oxidation and a method of manufacturing the same. In one embodiment, a semiconductor memory device comprises a molding layer overlying a semiconductor substrate. The molding layer has a protrusion portion vertically extending from a top surface thereof. The device further includes a phase-changeable material pattern adjacent the protrusion portion and a lower electrode electrically connected to the phase-changeable material pattern.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: January 27, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Jong Song, Young-Nam Hwang, Sang-Don Nam, Sung-Lae Cho, Gwan-Hyeob Koh, Choong-Man Lee, Bong-Jin Kuh, Yong-Ho Ha, Su-Youn Lee, Chang-Wook Jeong, Ji-Hye Yi, Kyung-Chang Ryoo, Se-Ho Lee, Su-Jin Ahn, Soon-Oh Park, Jang-Eun Lee
  • Patent number: 7470972
    Abstract: A transistor may be formed of different layers of silicon germanium, a lowest layer having a graded germanium concentration and upper layers having constant germanium concentrations such that the lowest layer is of the form Si1-xGex. The highest layer may be of the form Si1-yGey on the PMOS side. A source and drain may be formed of epitaxial silicon germanium of the form Si1-zGez on the PMOS side. In some embodiments, x is greater than y and z is greater than x in the PMOS device. Thus, a PMOS device may be formed with both uniaxial compressive stress in the channel direction and in-plane biaxial compressive stress. This combination of stress may result in higher mobility and increased device performance in some cases.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: December 30, 2008
    Assignee: Intel Corporation
    Inventors: Jack Kavalieros, Justin K. Brask, Mark L. Doczy, Matthew V. Metz, Suman Datta, Brian S. Doyle, Robert S. Chau, Everett X. Wang, Philippe Matagne, Lucian Shifren, Been Y. Jin, Mark Stettler, Martin D. Giles
  • Patent number: 7459406
    Abstract: Objects of the present invention is to reduce a number of scanning a linear laser, to shorten the amount of time for laser annealing, and to reduce a manufacturing process, a manufacturing time, and manufacturing cost of a semiconductor device. In this invention, a gas at high temperature is locally blown so as to overlap at an irradiation surface of linear laser light. The linear laser light can be obtained by injecting laser light radiated from a laser oscillator into a lens. The gas at high temperature can be obtained by heating a gas which is compressed using a gas compressor, by a nozzle type heater. The heated has is sprayed so as to overlap with the irradiation surface of the linear laser light.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: December 2, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koichiro Tanaka, Yoshiaki Yamamoto
  • Publication number: 20080290468
    Abstract: A method for producing a flexible electronic device is provided. The method comprises steps of providing a flexible substrate, forming an inorganic film on the flexible substrate and etching the inorganic film to obtain an electronic element of the electronic device. In another aspect, a flexible electronic device is provided. The flexible electronic device comprises a flexible substrate and an inorganic film disposed on the flexible substrate and having an electronic element, wherein the electronic element is formed by etching the inorganic film.
    Type: Application
    Filed: November 8, 2007
    Publication date: November 27, 2008
    Applicant: NATIONAL TAIWAN UNIVERSITY
    Inventors: Chee-Wee LIU, Y. -T. CHIANG, M. H. LEE, Y. DENG
  • Patent number: 7446393
    Abstract: The present invention is related to methods and apparatus that allow a chalcogenide glass such as germanium selenide (GexSe1-x) to be doped with a metal such as silver, copper, or zinc without utilizing an ultraviolet (UV) photodoping step to dope the chalcogenide glass with the metal. The chalcogenide glass doped with the metal can be used to store data in a memory device. Advantageously, the systems and methods co-sputter the metal and the chalcogenide glass and allow for relatively precise and efficient control of a constituent ratio between the doping metal and the chalcogenide glass. Further advantageously, the systems and methods enable the doping of the chalcogenide glass with a relatively high degree of uniformity over the depth of the formed layer of chalcogenide glass and the metal. Also, the systems and methods allow a metal concentration to be varied in a controlled manner along the thin film depth.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: November 4, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Jiutao Li, Allen McTeer, Gregory Herdt, Trung T. Doan
  • Publication number: 20080265282
    Abstract: Disclosed is an improved semiconductor structure (e.g., a silicon germanium (SiGe) hetero-junction bipolar transistor) having a narrow essentially interstitial-free SIC pedestal with minimal overlap of the extrinsic base. Also, disclosed is a method of forming the transistor which uses laser annealing, as opposed to rapid thermal annealing, of the SIC pedestal to produce both a narrow SIC pedestal and an essentially interstitial-free collector. Thus, the resulting SiGe HBT transistor can be produced with narrower base and collector space-charge regions than can be achieved with conventional technology.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Inventors: Oleg Gluschenkov, Rajendran Krishnasamy, Kathryn T. Schonenberg
  • Publication number: 20080265375
    Abstract: Single-sided polishing of semiconductor wafers provided with a relaxed Si1-xGex layer involves polishing of a multiplicity of wafers in a plurality of polishing runs, a polishing run having at least one polishing step, at least one of the multiplicity of wafers obtained with a polished Si1-xGex layer at the end of each polishing run; moving the wafer during the polishing step over a rotating polishing plate provided with a polishing cloth while applying polishing pressure, and supplying polishing agent between the polishing cloth and the semiconductor wafer, the polishing agent containing an alkaline component and a component that dissolves germanium. Semiconductor wafer having a Si1-xGex layer substantially free of defects and haze is produced.
    Type: Application
    Filed: April 22, 2008
    Publication date: October 30, 2008
    Applicant: Siltronic AG
    Inventors: Georg Pietsch, Thomas Buschhardt, Juergen Schwandner
  • Patent number: 7442993
    Abstract: A method of forming a semiconductor structure comprising a first strained semiconductor layer over an insulating layer is provided in which the first strained semiconductor layer is relatively thin (less than about 500 ?) and has a low defect density (stacking faults and threading defects). The method of the present invention begins with forming a stress-providing layer, such a SiGe alloy layer over a structure comprising a first semiconductor layer that is located atop an insulating layer. The stress-providing layer and the first semiconductor layer are then patterned into at least one island and thereafter the structure containing the at least one island is heated to a temperature that causes strain transfer from the stress-providing layer to the first semiconductor layer. After strain transfer, the stress-providing layer is removed from the structure to form a first strained semiconductor island layer directly atop said insulating layer.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: October 28, 2008
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Anthony G. Domenicucci, Keith E. Fogel, Effendi Leobandung, Devendra K. Sadana
  • Patent number: 7436046
    Abstract: Provided is a technology capable of suppressing a reduction in electron mobility in a channel region formed in a strained silicon layer. A p type strained silicon layer is formed over a p type silicon-germanium layer formed over a semiconductor substrate. The p type strained layer has a thickness adjusted to be thicker than the critical film thickness at which no misfit dislocation occurs. Accordingly, misfit dislocations occur in the vicinity of the interface between the p type strained silicon layer and p type silicon-germanium layer. At a position which is below the end of a gate electrode and at which misfit dislocations occur, the impurity concentration of the n type strained silicon layer and n type silicon-germanium layer is 1×1019 cm?3 or less.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: October 14, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Masao Kondo, Nobuyuki Sugii, Yoshinobu Kimura
  • Patent number: 7436035
    Abstract: Microelectronic structures embodying the present invention include a field effect transistor (FET) having highly conductive source/drain extensions. Formation of such highly conductive source/drain extensions includes forming a passivated recess which is back filled by epitaxial deposition of doped material to form the source/drain junctions. The recesses include a laterally extending region that underlies a portion of the gate structure. Such a lateral extension may underlie a sidewall spacer adjacent to the vertical sidewalls of the gate electrode, or may extend further into the channel portion of a FET such that the lateral recess underlies the gate electrode portion of the gate structure. In one embodiment the recess is back filled by an in-situ epitaxial deposition of a bilayer of oppositely doped material. In this way, a very abrupt junction is achieved that provides a relatively low resistance source/drain extension and further provides good off-state subthreshold leakage characteristics.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Anand S. Murthy, Robert S. Chau, Patrick Morrow, Chia-Hong Jan, Paul Packan
  • Publication number: 20080246120
    Abstract: A method that solves the increased nucleation temperature that is exhibited during the formation of cobalt disilicides in the presence of Ge atoms is provided. The reduction in silicide formation temperature is achieved by first providing a structure including a Co layer including at least Ni, as an additive element, on top of a SiGe containing substrate. Next, the structure is subjected to a self-aligned silicide process which includes a first anneal, a selective etching step and a second anneal to form a solid solution of (Co, Ni) disilicide on the SiGe containing substrate. The Co layer including at least Ni can comprise an alloy layer of Co and Ni, a stack of Ni/Co or a stack of Co/Ni. A semiconductor structure including the solid solution of (Co, Ni) disilicide on the SiGe containing substrate is also provided.
    Type: Application
    Filed: May 15, 2008
    Publication date: October 9, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, Roy A. Carruthers, Jia Chen, Christophe Detavernier, James M. Harper, Christian Lavoie
  • Patent number: 7432559
    Abstract: A semiconductor structure includes a first silicon-containing layer comprising an element selected from the group consisting essentially of carbon and germanium wherein the silicon-containing layer has a first atomic percentage of the element to the element and silicon, a second silicon-containing layer comprising the element over the first silicon-containing layer, and a silicide layer on the second silicon-containing layer. The element in the second silicon-containing layer has a second atomic percentage of the element to the element and silicon, wherein the second atomic percentage is substantially lower than the first atomic percentage.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: October 7, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jerry Lai, Chii-Ming Wu, Chih-Wei Chang, Shau-Lin Shue
  • Publication number: 20080237802
    Abstract: A method of passivating germanium that comprises providing a germanium material and carburizing the germanium material to form a germanium carbide material. The germanium carbide material may be formed by microwave-plasma enhanced chemical vapor deposition by exposing the germanium material to a microwave generated plasma that is formed from a carbon-containing source gas and hydrogen. The source gas may be a carbon-containing gas selected from the group consisting of ethylene, acetylene, ethanol, a hydrocarbon gas having from one to ten carbon atoms per molecule, and mixtures thereof. The resulting germanium carbide material may be amorphous and hydrogenated. The germanium material may be carburized without forming a distinct boundary at an interface between the germanium material and the germanium carbide material. An intermediate semiconductor device structure and a semiconductor device structure, each of which comprises the germanium carbide material, are also disclosed.
    Type: Application
    Filed: May 13, 2008
    Publication date: October 2, 2008
    Applicant: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 7416957
    Abstract: Method for forming a strained Si layer on a substrate (1), including formation of: an epitaxial SiGe layer (4) on a Si surface, and of: the strained Si layer by epitaxial growth of the Si layer on top of the epitaxial SiGe layer (4), the Si layer being strained due to the epitaxial growth, wherein the substrate (1) is a Silicon-On-Insulator substrate with a support layer (1), a buried silicon dioxide layer (BOX) and a monocrystalline Si surface layer (3), the method further including: ion implantation of the Si surface layer (3) and the epitaxial SiGe layer (4) to transform the Si surface layer (3) into an amorphous Si layer (3B) and a portion of the epitaxial SiGe layer (4) into an amorphous SiGe layer (5), a further portion of the epitaxial SiGe layer (4) being a remaining monocrystalline SiGe layer (6), the amorphous Si layer (3B), the amorphous SiGe layer and the remaining monocrystalline SiGe layer (6) forming a layer stack (3B, 5, 6) on the buried silicon dioxide layer (BOX), with the amorphous Si layer
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: August 26, 2008
    Assignee: NXP B.V.
    Inventor: Youri Ponomarev
  • Publication number: 20080191196
    Abstract: The present invention generally relates to nanoscale heterostructures and, in some cases, to nanowire heterostructures exhibiting ballistic transport, and/or to metal-semiconductor junctions that that exhibit no or reduced Schottky barriers. One aspect of the invention provides a solid nanowire having a core and a shell, both of which are essentially undoped. For example, in one embodiment, the core may consist essentially of undoped germanium and the shell may consist essentially of undoped silicon. Carriers are injected into the nanowire, which can be ballistically transported through the nanowire. In other embodiments, however, the invention is not limited to solid nanowires, and other configurations, involving other nanoscale wires, are also contemplated within the scope of the present invention. Yet another aspect of the invention provides a junction between a metal and a nanoscale wire that exhibit no or reduced Schottky barriers.
    Type: Application
    Filed: May 25, 2007
    Publication date: August 14, 2008
    Inventors: Wei Lu, Jie Xiang, Yue Wu, Brian P. Timko, Hao Yan, Charles M. Lieber
  • Patent number: 7405465
    Abstract: In deposited silicon, n-type dopants such as phosphorus and arsenic tend to seek the surface of the silicon, rising as the layer is deposited. When a second undoped or p-doped silicon layer is deposited on n-doped silicon with no n-type dopant provided, a first thickness of this second silicon layer nonetheless tends to include unwanted n-type dopant which has diffused up from lower levels. This surface-seeking behavior diminishes when germanium is alloyed with the silicon. In some devices, it may not be advantageous for the second layer to have significant germanium content. In the present invention, a first heavily n-doped semiconductor layer (preferably at least 10 at % germanium) is deposited, followed by a silicon-germanium capping layer with little or no n-type dopant, followed by a layer with little or no n-type dopant and less than 10 at % germanium. The germanium in the first layer and the capping layer minimizes diffusion of n-type dopant into the germanium-poor layer above.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: July 29, 2008
    Assignee: SanDisk 3D LLC
    Inventor: S. Brad Herner
  • Patent number: 7402891
    Abstract: Layered germanium polymers that are semiconductive and demonstrate a strong red or infrared luminescence are produced through the topochemical conversion of calcium digermanide. Furthermore, silicon/germanium layer polymers can also be produced in this manner. These layer polymers can be produced epitaxially on substrates comprising crystalline germanium, and can be used to construct light-emitting optoelectronic components such as light-emitting diodes or lasers.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: July 22, 2008
    Assignee: Osram Opto Semiconductors GmbH
    Inventors: Günther Vogg, Martin Brandt, Martin Stutzmann
  • Publication number: 20080164572
    Abstract: A semiconductor substrate whose surface roughness is reduced by optimizing an inclination (off angle) with respect to a {110} surface of the semiconductor substrate surface and a manufacturing method thereof are provided. The surface of the semiconductor substrate has the inclination (off angle) of 0 degree or more and 0.12 degrees or less, or 5 degrees or more and 11 degrees or less, preferably 6 degrees or more and 9 degrees or less with respect to the {110} surface. The manufacturing method of a semiconductor substrate has a process in which a semiconductor single crystal ingot is sliced at an inclination (off angle) of 5 degrees or more and 11 degrees or less, preferably 6 degrees or more and 9 degrees or less with respect to the {110} surface.
    Type: Application
    Filed: December 19, 2007
    Publication date: July 10, 2008
    Applicant: Covalent Materials Corporation
    Inventors: Eiji Toyoda, Takeshi Senda, Akiko Narita, Hiromichi Isogai, Koji Izunome
  • Patent number: 7397101
    Abstract: A horizontal germanium silicon heterostructure photodetector comprising a horizontal germanium p-i-n diode disposed over a horizontal parasitic silicon p-i-n diode uses silicon contacts for electrically coupling to the germanium p-i-n through the p-type doped and n-type doped regions in the silicon p-i-n without requiring direct physical contact to germanium material. The current invention may be optically coupled to on-chip and/or off-chip optical waveguide through end-fire or evanescent coupling. In some cases, the doping of the germanium p-type doped and/or n-type doped region may be accomplished based on out-diffusion of dopants in the doped silicon material of the underlying parasitic silicon p-i-n during high temperature steps in the fabrication process such as, the germanium deposition step(s), cyclic annealing, contact annealing and/or dopant activation.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: July 8, 2008
    Assignee: Luxtera, Inc.
    Inventors: Gianlorenzo Masini, Lawrence C. Gunn, III, Giovanni Capellini
  • Patent number: 7393735
    Abstract: A structure and method of fabricating a high-mobility semiconductor layer structure and field-effect transistor (MODFET) that includes a high-mobility conducting channel, while at the same time, maintaining counter doping to control deleterious short-channel effects. The MODFET design includes a high-mobility conducting channel layer wherein the method allows the counter doping to be formed using a standard technique such as ion implantation, and further allows the high-mobility channel to be in close proximity to the counter doping without degradation of the mobility.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: July 1, 2008
    Assignee: International Business Machines Corporation
    Inventors: Jack O. Chu, Steven J. Koester, Qiqing C. Ouyang
  • Patent number: 7391098
    Abstract: The present invention relates to a semiconductor substrate, a semiconductor device with high carrier mobility and a method of manufacturing the same. According to the present invention, there are provided a semiconductor substrate comprising a silicon substrate, a single crystal germanium layer formed on the silicon substrate, and a silicon layer formed on the single crystal germanium layer; a semiconductor device comprising a gate electrode formed on the semiconductor substrate, and junctions formed in the substrate at both sides of the gate electrode; and a method of manufacturing the semiconductor device. Therefore, carrier mobility of channels can be enhanced since the channels of semiconductor devices are placed within the germanium layer. Further, since the silicon layer is formed on the germanium layer, the reliable gate insulation film can be formed and a leakage current produced in a junction layer can also be reduced.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: June 24, 2008
    Assignee: Jusung Engineering Co., Ltd.
    Inventor: Chul Ju Hwang
  • Publication number: 20080128863
    Abstract: Gases for film formation are introduced from a plurality of holes provided at a gas nozzle into a processing chamber of a batch-type CVD film-forming apparatus to cause a turbulence of the gases within the processing chamber. In the state where the chamber is kept at a pressure within an atmospheric and quasi-atmospheric pressure region, a silicon-germanium film is epitaxially grown on a semiconductor wafer placed within the processing chamber. Subsequently, a strained silicon film is epitaxially grown on the silicon-germanium film. Thereafter, a semiconductor element is formed in the semiconductor wafer on which the silicon-germanium film and the strained silicon film have been formed, respectively.
    Type: Application
    Filed: January 3, 2008
    Publication date: June 5, 2008
    Inventors: Yasuichi KONDO, Wataru Hirasawa, Nobuyuki Sugii
  • Publication number: 20080099882
    Abstract: A method for forming an etch-stop layer and a resulting structure fabricated therefrom. The etch-stop layer is a silicon-germanium layer having a ratio of silicon to germanium of about 50:1 or less, a boron layer formed within the silicon-germanium layer where the boron layer has a full-width half-maximum (FWHM) thickness value of less than 50 nanometers, and a carbon layer formed within the silicon-germanium layer where the carbon layer has an FWHM thickness value of less than 50 nanometers. A ratio of boron to carbon in the etch-stop layer is in a range of about 0.5 to 1.5.
    Type: Application
    Filed: October 26, 2006
    Publication date: May 1, 2008
    Applicant: ATMEL CORPORATION
    Inventor: Darwin G. Enicks
  • Patent number: 7365410
    Abstract: A method for forming a semiconductor structure including providing a semiconductor substrate, forming a metallic buffer layer over the semiconductor substrate, forming an amorphous semiconductor layer over the metallic buffer layer, and recrystallizing the amorphous semiconductor layer to form a crystalline semiconductor layer. A semiconductor structure includes a semiconductor substrate, a buffer layer comprising at least one of silicide and germanide formed over the semiconductor substrate, and a crystalline semiconductor layer formed over the metallic buffer layer.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: April 29, 2008
    Assignee: Freescale, Semiconductor, Inc.
    Inventors: Alexander A. Demkov, William J. Taylor, Jr.
  • Patent number: 7358571
    Abstract: A semiconductor device comprises a semiconductor mesa overlying a dielectric layer, a gate stack formed overlying the semiconductor mesa, and an isolation spacer formed surrounding the semiconductor mesa and filling any undercut region at edges of the semiconductor mesa.
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: April 15, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chih-Hsin Ko, Wen-Chin Lee, Yee-Chia Yeo, Chung-Hu Ke
  • Patent number: 7355214
    Abstract: A method for forming a Field Effect Transistor (FET) within a strain effect semiconductor layer is disclosed, whereby the source and drain of the FET are formed only in the strain effect silicon layer. The FET may be formed as a gate electrode of a p-channel type field effect transistor, and a gate electrode of a n-channel type field effect transistor on the silicon layer which has the strain effect through a gate insulating film. The sources and drains of p- and n-type diffusion layers are then formed in the silicon layer having the strain effect, on both sides of the gate electrode.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: April 8, 2008
    Assignee: Sony Corporation
    Inventors: Takashi Noguchi, Mitsuo Soneda
  • Publication number: 20080079084
    Abstract: Semiconductor devices having enhanced mobility regions and methods of forming such devices are disclosed. In some embodiments, a method includes providing a SiGe layer on a supporting substrate, and forming isolation structures within the SiGe layer that define a first region and a second region. The conductivity of the SiGe layer in the second region may be altered to form a suitably doped well. A layer of strained Ge can be formed on the well, and a layer of strained Si may be formed on the surface of the first region. A layer of strained Si may be formed on the strained Ge layer. Source/drain regions may be formed in the well and in the first device region, and a dielectric layer may be formed on the Si layer. Gate structures may then be positioned on the dielectric layer.
    Type: Application
    Filed: September 28, 2006
    Publication date: April 3, 2008
    Inventor: Hussein I. Hanafi
  • Patent number: 7335929
    Abstract: A transistor structure comprises a channel region overlying a substrate region. The substrate region comprises a first semiconductor material with a first lattice constant. The channel region comprises a second semiconductor material with a second lattice constant. The source and drain regions are oppositely adjacent the channel region and the top portion of the source and drain regions comprise the first semiconductor material. A gate dielectric layer overlies the channel region and a gate electrode overlies the gate dielectric layer.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: February 26, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Chieh Lin, Wen-Chin Lee, Yee-Chia Yeo, Chenming Hu
  • Patent number: 7329923
    Abstract: An integrated semiconductor structure containing at least one device formed upon a first crystallographic surface that is optimal for that device, while another device is formed upon a second different crystallographic surface that is optimal for the other device is provided. The method of forming the integrated structure includes providing a bonded substrate including at least a first semiconductor layer of a first crystallographic orientation and a second semiconductor layer of a second different crystallographic orientation. A portion of the bonded substrate is protected to define a first device area, while another portion of the bonded substrate is unprotected. The unprotected portion of the bonded substrate is then etched to expose a surface of the second semiconductor layer and a semiconductor material is regrown on the exposed surface. Following planarization, a first semiconductor device is formed in the first device region and a second semiconductor device is formed on the regrown material.
    Type: Grant
    Filed: June 17, 2003
    Date of Patent: February 12, 2008
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Kathryn W. Guarini, Meikei Ieong, Shreesh Narasimha, Kern Rim, Jeffrey W. Sleight, Min Yang
  • Publication number: 20080029790
    Abstract: The use of atomic layer deposition (ALD) to form a semiconductor structure of a silicon film on a germanium substrate is disclosed. An embodiment includes a tantalum nitride gate electrode on a hafnium dioxide gate dielectric on the silicon film (TaN/HfO2/Si/Ge), which produces a reliable high dielectric constant (high k) electronic structure having higher charge carrier mobility as compared to silicon substrates. This structure may be useful in high performance electronic devices. The structure is formed by ALD deposition of a thin silicon layer on a germanium substrate surface, and then ALD forming a hafnium oxide gate dielectric layer, and a tantalum nitride gate electrode. Such a structure may be used as the gate of a MOSFET, or as a capacitor. The properties of the dielectric may be varied by replacing the hafnium oxide with another gate dielectric such as zirconium oxide (ZrO2), or titanium oxide (TiO2).
    Type: Application
    Filed: August 3, 2006
    Publication date: February 7, 2008
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7326969
    Abstract: A semiconductor memory device may comprise a thyristor-based memory having some portions formed in strained silicon, and other portions formed in relaxed silicon. In a further embodiment, a thyristor in the thyristor-based memory may be formed in a region of relaxed silicon germanium, while an access device to the thyristor-based memory may have a body region incorporating a portion of a layer of strained silicon. In yet a further embodiment, different regions of the thyristor may be formed in vertical aligned relationship relative to an upper surface of the relaxed silicon germanium. For this embodiment, the thyristor may be formed substantially within the depth of the relaxed silicon germanium layer. In a method of forming the semiconductor device, relaxed silicon may be deposited over exposed regions of a silicon substrate, and a thin layer of strained silicon formed over a portion of the substrate having silicon germanium.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: February 5, 2008
    Assignee: T-RAM Semiconductor, Inc.
    Inventor: Andrew E. Horch
  • Publication number: 20080017952
    Abstract: A semiconductor workpiece including a substrate, a relaxed buffer layer including a graded portion formed on the substrate, and at least one strained transitional layer within the graded portion of the relaxed buffer layer and method of manufacturing the same.
    Type: Application
    Filed: July 24, 2006
    Publication date: January 24, 2008
    Inventors: Nyles W. Cody, Christophe Figuet, Mark Kennard
  • Patent number: 7320931
    Abstract: Methods and apparatus are provided for depositing a layer of pure germanium can on a silicon substrate. This germanium layer is very thin, on the order of about 14 ?, and is less than the critical thickness for pure germanium on silicon. The germanium layer serves as an intermediate layer between the silicon substrate and the high k gate layer, which is deposited on the germanium layer. The germanium layer helps to avoid the development of an oxide interfacial layer during the application of the high k material. Application of the germanium intermediate layer in a semiconductor structure results in a high k gate functionality without the drawbacks of series capacitance due to oxide impurities. The germanium layer further improves mobility.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: January 22, 2008
    Assignee: Freescale Semiconductor Inc.
    Inventors: Shawn G. Thomas, Vida Ilderem, Papu D. Maniar
  • Patent number: 7317242
    Abstract: The invention provides a semiconductor device having a pn diode that includes a p-type SiGe layer and a n-type Si layer junctioned to the p-type SiGe layer. A built-in potential of the pn diode can be reduced, and thus obtaining a diode characteristics with lower impedance compared to the conventional scheme. Further, by forming a bridge-rectifier circuit with the pn diode or the like, alternating-current voltages can efficiently be converted into direct-current voltages. Accordingly, the invention provides a semiconductor device and method of manufacturing the same that can flow a larger electrical current in the forward direction of a diode by improving the voltage-current characteristics of the diode.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: January 8, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Teruo Takizawa
  • Patent number: 7307908
    Abstract: A software refreshed memory device comprises a plurality of memory cells that must be periodically refreshed to avoid losing data. Preferably, the memory cells can avoid losing data even though the time interval between successive memory refresh operations is relatively long, as compared to the time interval between successive memory refresh operations in a conventional volatile memory device, such as a DRAM. A processor can perform periodic memory refresh operations by executing a set of memory refresh instructions implemented in software, rather than in hardware. Accordingly, the memory device can advantageously be simplified, because the need for memory refresh circuitry and for a unique refresh control signal are advantageously eliminated. Moreover, the processor executing the memory refresh instructions can typically perform more sophisticated algorithms, as compared to memory refresh circuitry implemented in hardware, for determining when to perform a memory refresh operation.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: December 11, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 7304328
    Abstract: A method of forming a relaxed SiGe-on-insulator substrate having enhanced relaxation, significantly lower defect density and improved surface quality is provided. The method includes forming a SiGe alloy layer on a surface of a first single crystal Si layer. The first single crystal Si layer has an interface with an underlying barrier layer that is resistant to Ge diffusion. Next, ions that are capable of forming defects that allow mechanical decoupling at or near said interface are implanted into the structure and thereafter the structure including the implanted ions is subjected to a heating step which permits interdiffusion of Ge throughout the first single crystal Si layer and the SiGe layer to form a substantially relaxed, single crystal and homogeneous SiGe layer atop the barrier layer. SiGe-on-insulator substrates having the improved properties as well as heterostructures containing the same are also provided.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: December 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Keith E. Fogel, Devendra K. Sadana
  • Patent number: 7303949
    Abstract: A semiconductor device and method of manufacturing a semiconductor device. The semiconductor device includes channels for a pFET and an nFET. A SiGe layer is selectively grown in the source and drain regions of the pFET channel and a Si:C layer is selectively grown in source and drain regions of the nFET channel. The SiGe and Si:C layer match a lattice network of the underlying Si layer to create a stress component. In one implementation, this causes a compressive component in the pFET channel and a tensile component in the nFET channel.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: December 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Dureseti Chidambarrao, Omer H Dokumaci
  • Publication number: 20070267721
    Abstract: A phase change memory cell includes an interlayer insulating layer formed on a semiconductor substrate, and a first electrode and a second electrode disposed in the interlayer insulating layer. A phase change material layer is disposed between the first and second electrodes. The phase change material layer may be an undoped GeBiTe layer, a doped GeBiTe layer containing an impurity or a doped GeTe layer containing an impurity. The undoped GeBiTe layer has a composition ratio within a range surrounded by four points (A1(Ge21.43, Bi16.67, Te61.9), A2(Ge44.51, Bi0.35, Te55.14), A3(Ge59.33, Bi0.5, Te40.17) and A4(Ge38.71, Bi16.13, Te45.16)) represented by coordinates on a triangular composition diagram having vertices of germanium (Ge), bismuth (Bi) and tellurium (Te).
    Type: Application
    Filed: May 11, 2007
    Publication date: November 22, 2007
    Inventors: Bong-Jin Kuh, Yong-Ho Ha, Doo-Hwan Park, Jeong-Hee Park, Han-Bong Ko
  • Patent number: 7288819
    Abstract: One aspect of the present subject matter relates to a partially depleted silicon-on-insulator structure. The structure includes a well region formed above an oxide insulation layer. In various embodiments, the well region is a multilayer epitaxy that includes a silicon germanium (Si—Ge) layer. In various embodiments, the well region includes a number of recombination centers between the Si—Ge layer and the insulation layer. A source region, a drain region, a gate oxide layer, and a gate are formed. In various embodiments, the Si—Ge layer includes a number of recombination centers in the source/drain regions. In various embodiments, a metal silicide layer and a lateral metal Schottky layer are formed above the well region to contact the source region and the well region. Other aspects are provided herein.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: October 30, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Patent number: 7279751
    Abstract: It is an object of the present invention to provide a semiconductor laser device with high-yielding in which a clack generated in an epitaxial growth layer is restrained and to the manufacturing method thereof, the semiconductor laser device includes a GaN substrate 1, an n-type GaN layer 2, an n-type AlGaN cladding layer 3, a n-type GaN guide layer 4, an InGaN multiple quantum well active layer 5, an undoped-GaN guide layer 6, a p-type AlGaN electron overflow suppression layer 7, a p-type GaN guide layer 8, a SiO2 blocking layer 9, an Ni/ITO cladding layer electrode 10 as a transparent electrode, a Ti/Au pad electrode 11, and a Ti/Al/Ni/Au electrode 12. The SiO2 blocking layer 9 is formed above the InGaN multiple quantum well active layer 5 so as to have an opening. The Ni/ITO cladding layer electrode 10 is formed inside the opening, and which is transparent for the light from the InGaN multiple quantum well active layer, and serves as a cladding layer.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: October 9, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tetsuzo Ueda, Masaaki Yuri
  • Patent number: 7262465
    Abstract: A p-channel MOS transistor includes a strained SOI substrate formed of a SiGe mixed crystal layer and a strained Si layer formed on the SiGe mixed crystal layer via an insulation film, a channel region being formed in the strained Si layer, a gate electrode formed on the strained Si layer in correspondence to the channel region via a gate insulation film, and first and second p-type diffusion regions formed in the strained Si layer at respective first and second sides of the channel region, wherein the strained Si layer has first and second sidewall surfaces respectively at the first and second sides thereof, a first SiGe mixed crystal region being formed epitaxially to the SiGe mixed crystal layer in contact with the first sidewall surface, a second SiGe mixed crystal region being formed epitaxially to the SiGe mixed crystal layer in contact with the second sidewall surface, the first and second SiGe mixed crystal regions being in lattice matching with the strained silicon layer respectively at the first and
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: August 28, 2007
    Assignee: Fujitsu Limited
    Inventors: Akiyoshi Hatada, Akira Katakami, Naoyoshi Tamura, Yosuke Shimamune, Masashi Shima
  • Patent number: 7253045
    Abstract: A method of manufacturing a semiconductor device includes forming a silicon germanium layer and a N-channel transistor and a P-channel transistor over the silicon germanium layer. A beta ratio of the N-channel transistor to the P-channel transistor is about 1.8 to about 2.2. A semiconductor device is also disclosed.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: August 7, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Derick J. Wristers, David Wu, Hormuzdiar E. Nariman
  • Patent number: 7247546
    Abstract: A method is disclosed for forming a strained Si layer on SiGe, where the SiGe layer has improved thermal conductivity. A first layer of Si or Ge is deposited on a substrate in a first depositing step; a second layer of the other element is deposited on the first layer in a second depositing step; and the first and second depositing steps are repeated so as to form a combined SiGe layer having a plurality of Si layers and a plurality of Ge layers. The respective thicknesses of the Si layers and Ge layers are in accordance with a desired composition ratio of the combined SiGe layer (so that a 1:1 ratio typically is realized with Si and Ge layers each about 10 ? thick). The combined SiGe layer is characterized as a digital alloy of Si and Ge having a thermal conductivity greater than that of a random alloy of Si and Ge.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: July 24, 2007
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Huajie Chen, Keith Fogel, Ryan M. Mitchell, Devendra K. Sadana
  • Patent number: 7244958
    Abstract: A structure and method of fabrication for PFET devices in a compressively strained Ge layer is disclosed. The fabrication method of such devices is compatible with standard CMOS technology and it is fully scalable. The processing includes selective epitaxial depositions of an over 50% Ge content buffer layer, a pure Ge layer, and a SiGe top layer. Fabricated buried channel PMOS devices hosted in the compressively strained Ge layer show superior device characteristics relative to similar Si devices.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: July 17, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huiling Shang, Meikei Ieong, Jack Oon Chu, Kathryn W. Guarini
  • Patent number: 7244654
    Abstract: A method (100) of forming a transistor includes forming a gate structure (106, 108) over a semiconductor body and forming recesses (112) substantially aligned to the gate structure in the semiconductor body. Silicon germanium is then epitaxially grown (114) in the recesses, followed by forming sidewall spacers (118) over lateral edges of the gate structure. The method continues by implanting source and drain regions in the semiconductor body (120) after forming the sidewall spacers. The silicon germanium formed in the recesses resides close to the transistor channel and serves to provide a compressive stress to the channel, thereby facilitating improved carrier mobility in PMOS type transistor devices.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: July 17, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Pr Chidambaram, Douglas T. Grider, Brian A. Smith, Haowen Bu, Lindsey Hall
  • Patent number: 7238985
    Abstract: A MOSgated trench device has a reduced on resistance by forming a less than about a 13 nm thick strained SiGe layer on the silicon surface of the trenches and forming a thin (30 nm or less) layer of epitaxially deposited silicon on the SiGe layer which epi layer is converted to a gate oxide layer. The conduction channel formed by the SiGe layer is permanently strained to increase its mobility particularly hole mobility.
    Type: Grant
    Filed: August 4, 2004
    Date of Patent: July 3, 2007
    Assignee: International Rectifier Corporation
    Inventors: David Paul Jones, Robert P. Haase