Containing Germanium, Ge Patents (Class 257/616)
  • Patent number: 7989306
    Abstract: Semiconductor structures and methods of forming semiconductor structures, and more particularly to structures and methods of forming SiGe and/or SiGeC buried layers for SOI/SiGe devices. An integrated structure includes discontinuous, buried layers having alternating Si and SiGe or SiGeC regions. The structure further includes isolation structures at an interface between the Si and SiGe or SiGeC regions to reduce defects between the alternating regions. Devices are associated with the Si and SiGe or SiGeC regions.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: August 2, 2011
    Assignee: International Business Machines Corporation
    Inventors: Xuefeng Liu, Robert M. Rassel, Steven H. Voldman
  • Publication number: 20110180903
    Abstract: There is provided a semiconductor wafer having a base wafer, an insulating layer, and a SixGe1-x crystal layer (0?x<1) in the stated order. Here, at least a partial region of the SixGe1-x crystal layer (0?x<1) has been subjected to annealing, and the semiconductor wafer comprises a compound semiconductor that has a lattice match or a pseudo lattice match with the at least partial region of the SixGe1-x crystal layer (0?x<1). Furthermore, there is provided an electronic device including a substrate, an insulating layer disposed on the substrate, a SixGe1-x crystal layer (0?x<1) disposed on the insulating layer, at least a partial region of the SixGe1-x crystal layer (0?x<1) having been subjected to annealing, a compound semiconductor that has a lattice match or a pseudo lattice match with the at least partial region of the SixGe1-x crystal layer (0?x<1), and a semiconductor device formed using the compound semiconductor.
    Type: Application
    Filed: October 1, 2009
    Publication date: July 28, 2011
    Applicant: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: Masahiko Hata
  • Publication number: 20110180905
    Abstract: A multilayer film stack containing germanium, antimony and tellurium that can be annealed to form a GST product material of homogeneous and smooth character, wherein at least one antimony-containing layer is isolated from a tellurium-containing layer by an intervening germanium layer, and the multilayer film stack comprises at least two intervening germanium layers. The multilayer film stack can be formed by vapor deposition techniques such as chemical vapor deposition or atomic layer deposition. The annealable multilayer film stack can be formed in high aspect ratio vias to form phase change memory devices of superior character with respect to the stoichiometric and morphological characteristics of the GST product material.
    Type: Application
    Filed: June 8, 2009
    Publication date: July 28, 2011
    Applicant: Advanced Technology Materials, Inc.
    Inventors: Jun-Fei Zheng, Jeffrey F. Roeder, Philip S.H. Chen
  • Patent number: 7985985
    Abstract: A semiconductor device according to one embodiment includes: a semiconductor substrate; a first impurity diffusion suppression layer formed on the semiconductor substrate for suppressing diffusion of a channel impurity; an impurity channel layer formed on the first impurity diffusion suppression layer and containing the channel impurity; a second impurity diffusion suppression layer formed on the impurity channel layer for suppressing diffusion of the channel impurity; a channel layer formed on the second impurity diffusion suppression layer; a gate insulating film formed on the channel layer; and a gate electrode formed on the gate insulating film.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: July 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akira Hokazono
  • Patent number: 7977800
    Abstract: The semiconductor device includes: a transistor having a gate electrode formed on a semiconductor substrate and first and second source/drain regions formed in portions of the semiconductor substrate on both sides of the gate electrode; a gate interconnect formed at a position opposite to the gate electrode with respect to the first source/drain region; and a first silicon-germanium layer formed on the first source/drain region to protrude above the top surface of the semiconductor substrate. The gate interconnect and the first source/drain region are connected via a local interconnect structure that includes the first silicon-germanium layer.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: July 12, 2011
    Assignee: Panasonic Corporation
    Inventors: Tsutomu Oosuka, Hisashi Ogawa, Yoshihiro Sato
  • Patent number: 7947557
    Abstract: The present invention relates to a heterojunction tunneling effect transistor (TFET), which comprises spaced apart source and drain regions with a channel region located therebetween and a gate stack located over the channel region. The drain region comprises a first semiconductor material and is doped with a first dopant species of a first conductivity type. The source region comprises a second, different semiconductor material and is doped with a second dopant species of a second, different conductivity type. The gate stack comprises at least a gate dielectric and a gate conductor. When the heterojunction TFET is an n-channel TFET, the drain region comprises n-doped silicon, while the source region comprises p-doped silicon germanium.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: May 24, 2011
    Assignee: International Business Machines Corporation
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7944023
    Abstract: A semiconductor structure includes a silicon substrate layer, a relaxed silicon-germanium layer on the silicon substrate layer and a strained single crystal silicon layer on the silicon-germanium layer. The silicon-germanium layer may include a thickness of 500 angstroms or less. The method for forming the semiconductor structure includes epitaxially forming the silicon-germanium layer and the single crystal silicon layer. The silicon-germanium layer is stressed upon formation. After the single crystal silicon layer is formed over the silicon-germanium layer, an RTA or laser heat treatment process selectively melts the silicon-germanium layer but not the single crystal silicon layer. The substantially molten silicon-germanium relaxes the compressive stresses in the silicon-germanium layer and yields a relaxed silicon-germanium layer and a strained single crystal silicon layer upon cooling.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: May 17, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Min Cao
  • Patent number: 7944024
    Abstract: A semiconductor device is provided which is capable of suppressing a reduction in electron mobility in a channel region formed in a strained silicon layer. A strained silicon layer is formed over a p type silicon-germanium layer formed over a semiconductor substrate. The strained layer has a thickness adjusted to be thicker than the critical film thickness at which no misfit dislocation occurs. Accordingly, misfit dislocations occur in the vicinity of the interface between the strained silicon layer and silicon-germanium layer.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 17, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masao Kondo, Nobuyuki Sugii, Yoshinobu Kimura
  • Patent number: 7939902
    Abstract: The present invention is a field effect transistor having a strained semiconductor substrate and Schottky-barrier source and drain electrodes, and a method for making the transistor. The bulk charge carrier transport characteristic of the Schottky barrier field effect transistor minimizes carrier surface scattering, which enables the strained substrate to provide improved power and speed performance characteristics in this device, as compared to conventional devices.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: May 10, 2011
    Assignee: Avolare 2, LLC
    Inventors: John P. Snyder, John M. Larson
  • Patent number: 7936042
    Abstract: A field effect transistor comprising a silicon containing body is provided. After formation of a gate dielectric, gate electrode, and a first gate spacer, a drain side trench is formed and filled with a wide band gap semiconductor material. Optionally, a source side trench may be formed and filled with a silicon germanium alloy to enhance an on-current of the field effect transistor. Halo implantation and source and drain ion implantation are performed to form various doped regions. Since the wide band gap semiconductor material as a wider band gap than that of silicon, impact ionization is reduced due to the use of the wide band gap semiconductor material in the drain, and consequently, a breakdown voltage of the field effect transistor is increased compared to transistors employing silicon in the drain region.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventor: Arvind Kumar
  • Patent number: 7935612
    Abstract: A method for layer transfer using a boron-doped silicon germanium (SiGe) layer includes forming a boron-doped SiGe layer on a bulk silicon substrate; forming an upper silicon (Si) layer over the boron-doped SiGe layer; hydrogenating the boron-doped SiGe layer; bonding the upper Si layer to an alternate substrate; and propagating a fracture at an interface between the boron-doped SiGe layer and the bulk silicon substrate. A system for layer transfer using a boron-doped silicon germanium (SiGe) layer includes a bulk silicon substrate; a boron-doped SiGe layer formed on the bulk silicon substrate, such that the boron-doped SiGe layer is located underneath an upper silicon (Si) layer, wherein the boron-doped SiGe layer is configured to propagate a fracture at an interface between the boron-doped SiGe layer and the bulk silicon substrate after hydrogenation of the boron-doped SiGe layer; and an alternate substrate bonded to the upper Si layer.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: May 3, 2011
    Assignee: International Business Machines Corporation
    Inventors: Stephen Bedell, Keith Fogel, Daniel Inns, Jeehwan Kim, Devendra Sadana, James Vichiconti
  • Publication number: 20110089520
    Abstract: The present invention relates a method for forming a monocrystalline GeN layer (4) on a substrate (1) comprising at least a Ge surface (3). The method comprises, while heating the substrate (1) to a temperature between 550° C. and 940° C., exposing the substrate (1) to a nitrogen gas flow. The present invention furthermore provides a structure comprising a monocrystalline GeN layer (4) on a substrate (1). The monocrystalline GeN formed by the method according to embodiments of the invention allows passivation of surface states present at the Ge surface (3).
    Type: Application
    Filed: July 20, 2007
    Publication date: April 21, 2011
    Inventors: Ruben Lieten, Stefan Degroote, Gustaaf Borghs
  • Publication number: 20110062557
    Abstract: A semiconductor p-i-n diode and method for forming the same are described herein. In one aspect, a SiGe region is formed between a region doped to have one conductivity (either p+ or n+) and an electrical contact to the p-i-n diode. The SiGe region may serve to lower the contact resistance, which may increase the forward bias current. The doped region extends below the SiGe region such that it is between the SiGe region and an intrinsic region of the diode. The p-i-n diode may be formed from silicon. The doped region below the SiGe region may serve to keep the reverse bias current from increasing as result of the added SiGe region. In one embodiment, the SiGe is formed such that the forward bias current of an up-pointing p-i-n diode in a memory array substantially matches the forward bias current of a down-pointing p-i-n diode which may achieve better switching results when these diodes are used with the R/W material in a 3D memory array.
    Type: Application
    Filed: September 17, 2009
    Publication date: March 17, 2011
    Inventors: Abhijit Bandyopadhyay, Kun Hou, Steven Maxwell
  • Patent number: 7906358
    Abstract: Hetero-epitaxial semiconductor materials comprising cubic crystalline semiconductor alloys grown on the basal plane of trigonal and hexagonal substrates, in which misfit dislocations are reduced by approximate lattice matching of the cubic crystal structure to underlying trigonal or hexagonal substrate structure, enabling the development of alloyed semiconductor layers of greater thickness, resulting in a new class of semiconductor materials and corresponding devices, including improved hetero-bipolar and high-electron mobility transistors, and high-mobility thermoelectric devices.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: March 15, 2011
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Yeonjoon Park, Sang H. Choi, Glen C. King
  • Patent number: 7906825
    Abstract: A germanium (Ge) short wavelength infrared (SWIR) imager and associated fabrication process are provided. The imager comprises a silicon (Si) substrate with doped wells. An array of pin diodes is formed in a relaxed Ge-containing film overlying the Si substrate, each pin diode having a flip-chip interface. There is a Ge/Si interface, and a doped Ge-containing buffer interposed between the Ge-containing film and the Ge/Si interface. An array of Si CMOS readout circuits is bonded to the flip-chip interfaces. Each readout circuit has a zero volt diode bias interface.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: March 15, 2011
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Douglas J. Tweet, Jer-Shen Maa, Jong-Jan Lee, Sheng Teng Hsu
  • Patent number: 7902620
    Abstract: A vertical stack of a first silicon germanium alloy layer, a second epitaxial silicon layer, a second silicon germanium layer, and a germanium layer are formed epitaxially on a top surface of a first epitaxial silicon layer. The second epitaxial silicon layer, the second silicon germanium layer, and the germanium layer are patterned and encapsulated by a dielectric cap portion, a dielectric spacer, and the first silicon germanium layer. The silicon germanium layer is removed between the first and second silicon layers to form a silicon germanium mesa structure that structurally support an overhanging structure comprising a stack of a silicon portion, a silicon germanium alloy portion, a germanium photodetector, and a dielectric cap portion. The germanium photodetector is suspended by the silicon germanium mesa structure and does not abut a silicon waveguide. Germanium diffusion into the silicon waveguide and defect density in the germanium detector are minimized.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: March 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Solomon Assefa, Jack O. Chu, Martin M. Frank, William M. Green, Young-hee Kim, George G. Totir, Joris Van Campenhout, Yurii A. Vlasov, Ying Zhang
  • Patent number: 7898028
    Abstract: A process for fabricating a MOSFET device featuring a channel region comprised with a silicon-germanium component is provided. The process features employ an angled ion implantation procedure to place germanium ions in a region of a semiconductor substrate underlying a conductive gate structure. The presence of raised silicon shapes used as a diffusion source for a subsequent heavily-doped source/drain region, the presence of a conductive gate structure, and the removal of dummy insulator previously located on the conductive gate structure allow the angled implantation procedure to place germanium ions in a portion of the semiconductor substrate to be used for the MOSFET channel region. An anneal procedure results in the formation of the desired silicon-germanium component in the portion of semiconductor substrate to be used for the MOSFET channel region.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: March 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sun-Jay Chang, Shien-Yang Wu
  • Patent number: 7892905
    Abstract: A process for forming a strained channel region for a MOSFET device via formation of adjacent silicon-germanium source/drain regions, has been developed. The process features either blanket deposition of a silicon-germanium layer, or selective growth of a silicon-germanium layer on exposed portions of a source/drain extension region. A laser anneal procedure results in formation of a silicon-germanium source/drain region via consumption of a bottom portion of the silicon-germanium layer and a top portion of the underlying source/drain region. Optimization of the formation of the silicon-germanium source/drain region via laser annealing can be achieved via a pre-amorphization implantation (PAI) procedure applied to exposed portions of the source/drain region prior to deposition of the silicon-germanium layer. Un-reacted top portions of the silicon-germanium layer are selectively removed after the laser anneal procedure.
    Type: Grant
    Filed: August 2, 2005
    Date of Patent: February 22, 2011
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Kuang Kian Ong, Kin Leong Pey, King Jien Chui, Ganesh Samudra, Yee Chia Yeo, Yung Fu Chong
  • Patent number: 7893468
    Abstract: A complementary metal-oxide-semiconductor (CMOS) image sensor comprises a first photosensitive diode comprising a first semiconductor material is formed in a first semiconductor substrate. A second photosensitive diode comprising a second semiconductor material, which has a different light detection wavelength range than the first semiconductor material, is formed in a second semiconductor substrate. Semiconductor devices for holding and detecting charges comprising a sensing circuit of the CMOS image sensor may also be formed in the second semiconductor substrate. The first semiconductor substrate and the second semiconductor substrate are bonded so that the first photosensitive diode is located underneath the second photosensitive diode. The vertical stack of the first and second photosensitive diodes detects light in the combined detection wavelength range of the first and second semiconductor materials. Sensing devices may be shared between the first and second photosensitive diodes.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Gambino, Daniel N. Maynard, Kevin N. Ogg, Richard J. Rassel, Raymond J. Rosner
  • Patent number: 7871878
    Abstract: A method of manufacturing a semiconductor device that includes a first and second device regions on a substrate. The method includes the steps of forming an insulation layer on the substrate, laminating a first semiconductor layer having a plane orientation different from the surface of the substrate on the insulation layer and exposing the substrate by removing the insulation layer and the first semiconductor layer from the second device region. A second semiconductor layer having the same plane orientation as the substrate and that is made of a strained layer is formed by epitaxial growth on the exposed substrate in the second device region.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: January 18, 2011
    Assignee: Sony Corporation
    Inventors: Junli Wang, Toyotaka Kataoka, Masaki Saito
  • Publication number: 20110006398
    Abstract: A process and apparatus for making silicon or silicon/germanium core fiber is described, which uses a plasma process with reducing agent to make preform. The process also makes the recommendations in selecting the adequate cladding tube for better fiber properties. An improved fiber drawing apparatus is also disclosed in order to draw this new type of preforms.
    Type: Application
    Filed: July 10, 2009
    Publication date: January 13, 2011
    Inventor: Dau WU
  • Publication number: 20110006399
    Abstract: A high-quality GaAs-type crystal thin film using an inexpensive Si wafer with good thermal release characteristics is achieved.
    Type: Application
    Filed: December 26, 2008
    Publication date: January 13, 2011
    Applicants: SUMITOMO CHEMICAL COMPANY, LIMITED, THE UNIVERSITY OF TOKYO
    Inventors: Tomoyuki Takada, Sadanori Yamanaka, Masahiko Hata, Taketsugu Yamamoto, Kazumi Wada
  • Patent number: 7868425
    Abstract: Provided is a technology capable of suppressing a reduction in electron mobility in a channel region formed in a strained silicon layer. A p type strained silicon layer is formed over a p type silicon-germanium layer formed over a semiconductor substrate. The p type strained layer has a thickness adjusted to be thicker than the critical film thickness at which no misfit dislocation occurs. Accordingly, misfit dislocations occur in the vicinity of the interface between the p type strained silicon layer and p type silicon-germanium layer. At a position which is below the end of a gate electrode and at which misfit dislocations occur, the impurity concentration of the n type strained silicon layer and n type silicon-germanium layer is 1×1019 cm?3 or less.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: January 11, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masao Kondo, Nobuyuki Sugii, Yoshinobu Kimura
  • Publication number: 20110001167
    Abstract: A semiconductor-on-insulator hetero-structure and a method for fabricating the semiconductor-on-insulator hetero-structure include a crystalline substrate and a dielectric layer located thereupon having an aperture that exposes the crystalline substrate. The semiconductor-on-insulator hetero-structure and the method for fabricating the semiconductor-on-insulator hetero-structure also include a semiconductor layer of composition different than the crystalline substrate located within the aperture and upon the dielectric layer. A portion of the semiconductor layer located aligned over the aperture includes a defect. A portion of the semiconductor layer located aligned over the dielectric layer does not include a defect. Upon removing the portion of the semiconductor layer located aligned over the aperture a reduced defect semiconductor-on-insulator hetero-structure is formed.
    Type: Application
    Filed: July 1, 2009
    Publication date: January 6, 2011
    Applicant: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Jeehwan Kim, Alexander Reznicek, Devendra K. Sadana
  • Patent number: 7863650
    Abstract: A process for fabricating a multilayer structure is provided as well as the structure itself. In accordance with one embodiment, the process includes growing a growth layer on a silicon substrate by epitaxial growth, forming at least one pattern from the growth layer, depositing an oxide layer on the silicon substrate, transferring a silicon active layer onto the oxide layer, forming a cavity in the silicon active layer oxide layer above the pattern, and growing a III-V material in the cavity.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: January 4, 2011
    Assignee: S.O.I. TEC Silicon on Insulator Technologies
    Inventor: Fabrice Letertre
  • Patent number: 7863710
    Abstract: Dislocation removal from a group III-V film grown on a semiconductor substrate is generally described. In one example, an apparatus includes a semiconductor substrate, a buffer film including a group III-V semiconductor material epitaxially coupled to the semiconductor substrate wherein the buffer film includes material melted by laser pulse irradiation and recrystallized to substantially remove dislocations or defects from the buffer film, and a first semiconductor film epitaxially grown on the buffer film wherein a lattice mismatch exists between the semiconductor substrate and the first semiconductor film.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: January 4, 2011
    Assignee: Intel Corporation
    Inventors: Mantu K. Hudait, Peter G. Tolchinsky, Jack T. Kavalieros, Marko Radosavljevic
  • Publication number: 20100327317
    Abstract: Embodiments of an apparatus and methods for providing germanium on insulator using a large bandgap barrier layer are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: June 26, 2009
    Publication date: December 30, 2010
    Inventors: Ravi Pillarisetty, Mantu Hudait, Marko Radosavljevic, Benjamin Chu-Kung, Jack Kavalieros
  • Patent number: 7858964
    Abstract: A semiconductor device includes a substrate that includes a first layer and a recrystallized layer on the first layer. The first layer has a first intrinsic stress and the recrystallized layer has a second intrinsic stress. A transistor is formed in the recrystallized layer. The transistor includes a source region, a drain region, and a charge carrier channel between the source and drain regions. The second intrinsic stress is aligned substantially parallel to the charge carrier channel.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: December 28, 2010
    Assignee: Infineon Technologies AG
    Inventors: Roman Knoefler, Armin Tilke
  • Publication number: 20100301455
    Abstract: A method for producing a bonded substrate having a Si1-xGex (0<x?1) film in which a larger than ever biaxial strain has been introduced. Specifically, the method involves at least the steps of: providing a donor wafer and a handle wafer having a thermal expansion coefficient lower than the donor wafer, implanting ions of any one or both of hydrogen and a noble gas into the donor wafer to form an ion-implanted layer, performing a plasma activation treatment on at least one of bonding surfaces of the donor wafer and the handle wafer, bonding the donor wafer to the handle wafer, splitting the donor wafer through application of a mechanical impact to the ion-implanted layer, performing a surface treatment on a split surface of the donor wafer, and epitaxially growing a Si1-xGex (0<x?1) film on the split surface to thus form a strained Si1-xGex (0<x?1) film on the bonded wafers.
    Type: Application
    Filed: November 27, 2008
    Publication date: December 2, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Shoji Akiyama
  • Patent number: 7842973
    Abstract: A semiconductor device capable of avoiding generation of a barrier in a conduction band while maintaining high withstanding voltage and enabling high speed transistor operation at high current in a double hetero bipolar transistor, as well as a manufacturing method thereof, wherein a portion of the base and the collector is formed of a material with a forbidden band width narrower than that of a semiconductor substrate, a region where the forbidden band increases stepwise and continuously from the emitter side to the collector side is disposed in the inside of the base and the forbidden band width at the base-collector interface is designed so as to be larger than the minimum forbidden band width in the base, whereby the forbidden band width at the base layer edge on the collector side can be made closer to the forbidden band width of the semiconductor substrate than usual while sufficiently maintaining the hetero effect near the emitter-base thereby capable of decreasing the height of the energy barrier gene
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: November 30, 2010
    Assignee: Hitachi, Ltd.
    Inventors: Makoto Miura, Katsuyoshi Washio, Hiromi Shimamoto
  • Patent number: 7842385
    Abstract: A coated nano particle and an electronic device using the composite nano particle as an illuminator are provided. The composite nano particle includes a nano particle receiving light and emitting light; and a coating material formed on a surface of the nano particle and having an index of refraction different from that of the nano particle. The coated nano particle is made by coating a surface of the nano particle with a material having an index of refraction, which has an intermediate value between an index of refraction of a matrix and an index of refraction of the nano particle as an illuminator, with a predetermined thickness. The light emitted from the nano particle is efficiently transferred to the outside as the light reflected from the matrix and absorbed by the nano particle is suppressed. Therefore, a luminous efficiency of the illuminator is improved, and an electronic device using the illuminator is provided.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: November 30, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Eun-joo Jang, Shin-ae Jun
  • Patent number: 7825493
    Abstract: A field-effect transistor that increases the operation speeds of complementary field-effect transistors. Each of an nMOSFET and a pMODFET has a Ge channel and source and drain regions formed of an NiGe layer. The height of Schottky barriers formed at a junction between a channel region and the source region of the nMOSFET and at a junction between the channel region and the drain region of the nMOSFET is changed by very thin high-concentration segregation layers formed by making As atoms, Sb atoms, S atoms, or the like segregate at the time of forming the NiGe layer. As a result, Schottky barrier height suitable for the nMOSFET and the pMODFET can be obtained, thus being capable of realizing high-speed CMOSFETs.
    Type: Grant
    Filed: May 2, 2006
    Date of Patent: November 2, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Keiji Ikeda
  • Patent number: 7816766
    Abstract: A semiconductor device includes a gate electrode formed on a silicon substrate in correspondence to a channel region via a gate insulation film, and source and drain regions of p-type formed in the silicon substrate at respective outer sides of sidewall insulation films on the gate electrode, a pair of SiGe mixed crystal regions formed in the silicon substrate at respective outer sides of the sidewall insulation films epitaxially to the silicon substrate so as to be enclosed respectively by the source and drain regions, each of the SiGe mixed crystal regions being grown to a level above a level of a gate insulation film interface between the gate insulation film and the silicon substrate, wherein there is provided a compressive stress film at respective top surfaces of the SiGe mixed crystal regions.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: October 19, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Naoyoshi Tamura, Yosuke Shimamune, Akiyoshi Hatada, Akira Katakami, Masashi Shima
  • Patent number: 7816767
    Abstract: A negative differential resistance (NDR) diode and a memory cell incorporating that NDR diode are provided. The NDR diode comprises a p-type germanium region in contact with an n-type germanium region and forming a germanium pn junction diode. A first gate electrode overlies the p-type germanium region, is electrically coupled to the n-type germanium region, and is configured for coupling to a first electrical potential. A second gate electrode overlies the n-type germanium region and is configured for coupling to a second electrical potential. A third electrode is electrically coupled to the p-type germanium region and may be coupled to the second gate electrode. A small SRAM cell uses two such NDR diodes with a single pass transistor.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: October 19, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gen Pei, Zoran Krivokapic
  • Patent number: 7816765
    Abstract: A silicon epitaxial wafer obtained by growing a silicon epitaxial layer on a surface of a silicon wafer having a diameter of at least 300 mm produced by slicing a silicon single crystal ingot doped with boron and germanium grown by the Czochralski method, wherein boron is doped to be at a concentration of 8.5×1018 (atoms/cm3) or higher and germanium is doped to satisfy a relational expression (formula 1) below. ? 3 × ( 4.64 × 10 - 24 · [ Ge ] - 2.69 × 10 - 23 · [ B ] ) 5.43 × r 2 × t epi ( t sub ) 2 ? ? 26.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: October 19, 2010
    Assignee: Sumco Corporation
    Inventor: Toshiaki Ono
  • Patent number: 7816664
    Abstract: A high-quality, substantially relaxed SiGe-on-insulator substrate material which may be used as a template for strained Si is described. The substantially relaxed SiGe-on-insulator substrate includes a Si-containing substrate, an insulating region that is resistant to Ge diffusion present atop the Si-containing substrate, and a substantially relaxed SiGe layer present atop the insulating region. The insulating region includes an upper region that is comprised of a thermal oxide and the substantially relaxed SiGe layer has a thickness of about 2000 nm or less.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Huajie Chen, Anthony G. Domenicucci, Keith E. Fogel, Devendra K. Sadana
  • Patent number: 7812370
    Abstract: A semiconductor device and the methods of forming the same are provided. The semiconductor device includes a low energy band-gap layer comprising a semiconductor material; a gate dielectric on the low energy band-gap layer; a gate electrode over the gate dielectric; a first source/drain region adjacent the gate dielectric, wherein the first source/drain region is of a first conductivity type; and a second source/drain region adjacent the gate dielectric. The second source/drain region is of a second conductivity type opposite the first conductivity type. The low energy band-gap layer is located between the first and the second source/drain regions.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: October 12, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Krishna Kumar Bhuwalka, Ken-Ichi Goto
  • Patent number: 7808081
    Abstract: The present invention provides a semiconductor device and a method of forming thereof, in which a uniaxial strain is produced in the device channel of the semiconductor device. The uniaxial strain may be in tension or in compression and is in a direction parallel to the device channel. The uniaxial strain can be produced in a biaxially strained substrate surface by strain inducing liners, strain inducing wells or a combination thereof. The uniaxial strain may be produced in a relaxed substrate by the combination of strain inducing wells and a strain inducing liner. The present invention also provides a means for increasing biaxial strain with strain inducing isolation regions. The present invention further provides CMOS devices in which the device regions of the CMOS substrate may be independently processed to provide uniaxially strained semiconducting surfaces in compression or tension.
    Type: Grant
    Filed: January 3, 2007
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Qiqing Ouyang, Kern Rim
  • Publication number: 20100244198
    Abstract: Silicon germanium (SiGe) is epitaxially grown on a silicon channel above nFET and pFET regions of a substrate. SiGe is removed above the nFET regions. A device includes a silicon channel above the nFET regions and a SiGe channel above the pFET regions.
    Type: Application
    Filed: March 30, 2009
    Publication date: September 30, 2010
    Applicant: International Business Machines Corporation
    Inventors: Daniel J. Jaeger, Michael ` V. Aquilino, Christopher V. Baiocco
  • Patent number: 7803669
    Abstract: An organic thin film transistor substrate includes a gate line formed on a substrate, a data line intersecting the gate line and defining a subpixel area, an organic thin film transistor including a gate electrode connected to the gate line, a source electrode connected to the data line, a drain electrode facing the source electrode, and an organic semiconductor layer forming a channel between the source and drain electrodes, a passivation layer parallel with the gate line, for covering the organic semiconductor layer and peripheral regions of the organic semiconductor layer, and a bank insulating layer for determining the position of the organic semiconductor layer and the passivation layer.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: September 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung Hwan Cho, Bo Sung Kim, Keun Kyu Song
  • Patent number: 7800184
    Abstract: Disclosed are integrated circuit structures each having a silicon germanium film incorporated as a local interconnect and/or an electrical contact. These integrated circuit structures provide improved local interconnects between devices and/or increased capacitance to devices without significantly increasing structure surface area or power requirements. Specifically, disclosed are integrated circuit structures that incorporate a silicon germanium film as one or more of the following features: as a local interconnect between devices; as an electrical contact to a device (e.g., a deep trench capacitor, a source/drain region of a transistor, etc.); as both an electrical contact to a deep trench capacitor and a local interconnect between the deep trench capacitor and another device; and as both an electrical contact to a deep trench capacitor and as a local interconnect between the deep trench capacitor and other devices.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: September 21, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Patent number: 7791064
    Abstract: A semiconductor device includes a gate electrode formed on a silicon substrate via a gate insulation film in correspondence to a channel region, source and drain regions of a p-type diffusion region formed in the silicon substrate at respective outer sides of sidewall insulation films of the gate electrode, and a pair of SiGe mixed crystal regions formed in the silicon substrate at respective outer sides of the sidewall insulation films in epitaxial relationship to the silicon substrate, the SiGe mixed crystal regions being defined by respective sidewall surfaces facing with each other, wherein, in each of the SiGe mixed crystal regions, the sidewall surface is defined by a plurality of facets forming respective, mutually different angles with respect to a principal surface of the silicon substrate.
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: September 7, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Yosuke Shimamune, Akira Katakami, Akiyoshi Hatada, Masashi Shima, Naoyoshi Tamura
  • Patent number: 7791107
    Abstract: A semiconductor-based structure includes a substrate layer, a compressively strained semiconductor layer adjacent to the substrate layer to provide a channel for a component, and a tensilely strained semiconductor layer disposed between the substrate layer and the compressively strained semiconductor layer. A method for making an electronic device includes providing, on a strain-inducing substrate, a first tensilely strained layer, forming a compressively strained layer on the first tensilely strained layer, and forming a second tensilely strained layer on the compressively strained layer. The first and second tensilely strained layers can be formed of silicon, and the compressively strained layer can be formed of silicon and germanium.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: September 7, 2010
    Assignee: Massachusetts Institute of Technology
    Inventors: Saurabh Gupta, Minjoo Larry Lee, Eugene A. Fitzgerald
  • Patent number: 7791146
    Abstract: A semiconductor device includes a gate insulator and a gate electrode stacked on a substrate, a source/drain pattern which fills a recess region formed at opposite sides adjacent to the gate electrode, the source/drain pattern being made of silicon-germanium doped with dopants and a metal germanosilicide layer disposed on the source/drain pattern. The metal germanosilicide layer is electrically connected to the source/drain pattern. Moreover, a proportion of germanium amount to the sum of the germanium amount and silicon amount in the metal germanosilicide layer is lower than that of germanium amount to the sum of the germanium amount and silicon amount in the source/drain pattern.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: September 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myung-Sun Kim, Hwa-Sung Rhee, Tetsuji Ueno, Ho Lee, Ji-Hye Yi
  • Patent number: 7781810
    Abstract: A device includes a fin, a first gate and a second gate. The first gate is formed adjacent a first side of the fin and includes a first layer of material having a first thickness and having an upper surface that is substantially co-planar with an upper surface of the fin. The second gate is formed adjacent a second side of the fin opposite the first side and includes a second layer of material having a second thickness and having an upper surface that is substantially co-planar with the upper surface of the fin, where the first thickness and the second thickness are substantially equal to a height of the fin.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: August 24, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Judy Xilin An, Zoran Krivokapic, Haihong Wang, Bin Yu
  • Publication number: 20100200896
    Abstract: A method for growing an epitaxial layer on a substrate wherein the substrate includes a surface having a Miller index of (110) for the beneficial properties. The method comprises using a direct silicon bonded wafer with a substrate having a first Miller index and a surface having a second Miller index. An element such as a gate for a PFET may be deposited onto the surface. The area not under the gate may then be etched away to expose the substrate. An epitaxial layer may then be grown on the surface providing optimal growth patterns. The Miller index of the substrate may be (100). In an alternative embodiment the surface may have a Miller index of (100) and the surface is etched where an element such as a gate for a PFET may be placed.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 12, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas N. Adam, Jinghong Li, Thomas A. Wallner, Haizhou Yin
  • Patent number: 7772676
    Abstract: A semiconductor body is formed from a first semiconductor material, e.g., silicon. A compound semiconductor region, e.g., silicon germanium, is embedded in the semiconductor body. The compound semiconductor region includes the first semiconductor material and a second semiconductor material.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: August 10, 2010
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Jin-Ping Han, Hung Y. Ng, Judson R. Holt
  • Publication number: 20100193002
    Abstract: The invention relates to a semiconductor component which contains one semiconductor layer containing germanium. On the rear-side, i.e. on the side orientated away from the incident light, the semiconductor layer has at least one layer containing silicon carbide which serves, on the one hand, for the reflection of radiation and also as rear-side passivation or as diffusion barrier. A method for the production of semiconductor components of this type is likewise described. The semiconductor components according to the invention are used in particular as thermophotovoltaic cells or multiple solar cells based on germanium.
    Type: Application
    Filed: May 14, 2008
    Publication date: August 5, 2010
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Frank Dimroth, Jara Fernandez, Stefan Janz
  • Patent number: 7755104
    Abstract: A semiconductor device that has a pMOS double-gate structure, has a substrate, the crystal orientation of the top surface of which is (100), a semiconductor layer that is made of silicon or germanium, formed on the substrate such that currents flow in a direction of a first <110> crystal orientation, and channels are located at sidewall of the semiconductor layer, a source layer that is formed on the substrate adjacent to one end of the semiconductor layer in the direction of first <110> crystal orientation and is made of a metal or metal silicide to form a Schottky junction with the semiconductor layer; a drain layer that is formed on the substrate adjacent to the other end of the semiconductor layer in the direction of first <110> crystal orientation and is made of a metal or metal silicide to form a Schottky junction with the semiconductor layer; a gate electrode that is formed on the semiconductor layer in a direction of a second <110> crystal orientation perpendicular to the curre
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: July 13, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Atsushi Yagishita
  • Patent number: 7750368
    Abstract: Disclosed is a memory device and method of operation thereof. The memory device may include a source region and a drain region of a first dopant type, the source and drain regions contain a first semiconductor material; a body region of a second dopant type, the body region being sandwiched between the source and drain regions, the body comprising a second semiconductor material; a gate dielectric layer over at least the body region; and a gate comprising a conductive material over the gate dielectric layer. Specifically, one of the first semiconductor material and the second semiconductor material is lattice matched with the other of the first semiconductor material and the second semiconductor material and has an energy gap smaller than the energy gap of the other of the first semiconductor material and the second semiconductor material.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: July 6, 2010
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Ta-Wei Lin, Wen-Jer Tsai