Combined With Glass Layer Patents (Class 257/641)
  • Patent number: 11881493
    Abstract: An image sensor device includes a transistor disposed in a pixel region; a salicide block layer covering the pixel region; a first ILD layer covering the salicide block layer; a second ILD layer on the first ILD layer; a source contacts extending through the second and first ILD layers and the salicide block layer, and including first polysilicon plug in the first ILD layer and first conductive metal layer on the first polysilicon plug; and a drain contact extending through the second and first ILD layers and the salicide block, and including second polysilicon plug in the first ILD layer and second conductive metal layer on the second polysilicon plug.
    Type: Grant
    Filed: November 21, 2022
    Date of Patent: January 23, 2024
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Ming-Shing Chen
  • Patent number: 10477701
    Abstract: A circuit board includes a substrate, a first dielectric layer, an adhesive layer, a second dielectric layer, and a conductive line. The first dielectric layer is disposed on the substrate. The adhesive layer is bonded to the first dielectric layer and has at least one through hole. The through hole has an inner wall. The second dielectric layer is disposed on the adhesive layer and has a second through hole communicated with the first through hole. The conductive line is located in the second through hole of the second dielectric layer and is in contact with the inner wall of the adhesive layer.
    Type: Grant
    Filed: December 11, 2017
    Date of Patent: November 12, 2019
    Assignee: UNIMICRON TECHNOLOGY CORP.
    Inventors: Po-Hsuan Liao, Wen-Fang Liu
  • Patent number: 10269634
    Abstract: A method embodiment includes forming a hard mask over a dielectric layer and forming a first metal line and a second metal line extending through the hard mask into the dielectric layer. The method further includes removing the hard mask, wherein removing the hard mask defines an opening between the first metal line and the second metal line. A liner is then formed over the first metal line, the second metal line, and the dielectric layer, wherein the liner covers sidewalls and a bottom surface of the opening.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: April 23, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Hsu Wu, Chien-Hua Huang, Chung-Ju Lee, Tien-I Bao, Shau-Lin Shue
  • Patent number: 10206291
    Abstract: A method for manufacturing an array substrate includes a step of forming a first metal layer on a glass substrate such that the first metal layer includes multiple first metal lines distributed as a fan shape, each of the first metal lines including a predetermined number of first metal strip portions that are spaced from each other and have an equal length; forming an insulation layer on the multiple first metal lines in such a way that portions of the insulation layer respectively covering the first metal strip portions are each provided with a first through hole and a second through hole formed therein; and forming a second metal layer on the insulation layer such that the second metal layer includes multiple second metal strip portions respectively in contact with the first metal strip portions of the first metal lines via the first through holes and the second through holes.
    Type: Grant
    Filed: October 21, 2015
    Date of Patent: February 12, 2019
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventor: Li Chai
  • Patent number: 9437734
    Abstract: A semiconductor device includes a semiconductor substrate having a drain region, a source region and an impurity diffusion region; an oxide film formed on the impurity diffusion region; a first protective film including a SiN film as a principal component and being formed on the oxide film; and a second protective film containing carbon and being formed on the first protective film. A method of manufacturing the semiconductor device, includes doping an impurity into a semiconductor substrate, thereby forming a drain region, a source region and an impurity diffusion region; forming an oxide film on the impurity diffusion region; forming a first protective film including a SiN film as a principal component on the oxide film; and forming a second protective film containing carbon on the first protective film.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: September 6, 2016
    Assignee: LAPIS SEMICONDUCTOR CO., LTD.
    Inventor: Kiyotaka Yonekawa
  • Patent number: 9423247
    Abstract: The present disclosure discloses a positioning graphic component for substrate detection and a method of manufacturing the same. The positioning graphic component for substrate detection comprises at least two layers of metal layer patterns and an insulation layer placed between any two layers of metal layer patterns. The present disclosure solves the problem of the occurrence of an incomplete positioning graphic component due to incomplete coverage by the insulation layer in processing TFT LCDs, thus improving the yield.
    Type: Grant
    Filed: July 16, 2014
    Date of Patent: August 23, 2016
    Assignee: SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Dongzi Gao, Li Chai
  • Patent number: 8552537
    Abstract: A semiconductor device according to an embodiment, includes a dielectric film and an Si semiconductor part. The dielectric film is formed by using one of oxide, nitride and oxynitride. The Si semiconductor part is arranged below the dielectric film, having at least one element of sulfur (S), selenium (Se), and tellurium (Te) present in an interface with the dielectric film, and formed by using silicon (Si).
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: October 8, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tatsuo Shimizu, Satoshi Itoh, Hideyuki Nishizawa
  • Patent number: 8455872
    Abstract: A method of manufacturing a thin film electronic device comprises applying a first plastic coating (PI-1) directly to a rigid carrier substrate (40) and forming thin film electronic elements (44) over the first plastic coating. A second plastic coating (46) is applied over the thin film electronic elements with electrodes (47) on top, with a portion lying directly over the associated electronic element, spaced by the second plastic coating. The rigid carrier substrate (40) is released from the first plastic coating, by a laser release process. This method enables traditional materials to be used as the base for the electronic element manufacture, for example thin film transistors. The second plastic coating can form part of the known field shielded pixel (FSP) technology.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: June 4, 2013
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Ian French
  • Patent number: 8368064
    Abstract: A glass to be used in a scattering layer of an organic LED element, and an organic LED element using the scattering layer are provided. The organic LED element of the present invention includes, a transparent substrate, a first electrode provided on the transparent electrode, an organic layer provided on the first electrode, and a second electrode provided on the organic layer, and further includes a scattering layer including, in terms of mol % on the basis of oxides, 15 to 30% of P2O5, 5 to 25% of Bi2O3, 5 to 27% of Nb2O5, and 10 to 35% of ZnO and having a total content of alkali metal oxides including Li2O, Na2O and K2O of 5% by mass or less.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: February 5, 2013
    Assignee: Asahi Glass Company, Limited
    Inventors: Naoya Wada, Nobuhiro Nakamura, Nao Ishibashi
  • Patent number: 8227877
    Abstract: A method of manufacturing a semiconductor bio-sensor comprises providing a substrate, forming a first dielectric layer on the substrate, forming a patterned first conductive layer on the first dielectric layer, the patterned first conductive layer including a first portion and a pair of second portions, forming a second dielectric layer, a third dielectric layer and a fourth dielectric layer in sequence over the patterned first conductive layer, forming cavities into the fourth dielectric layer, forming vias through the cavities, exposing the second portions of the patterned first conductive layer, forming a patterned second conductive layer on the fourth dielectric layer, forming a passivation layer on the patterned second conductive layer, forming an opening to expose a portion of the third dielectric layer over the first portion of the patterned first conductive layer, and forming a chamber through the opening.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: July 24, 2012
    Assignee: Macronix International Co., Ltd.
    Inventors: Ming-Tung Lee, Shih-Chin Lien, Chia-Huan Chang
  • Publication number: 20110316082
    Abstract: An object is to provide an SOI substrate provided with a semiconductor layer which can be used practically even when a glass substrate is used as a base substrate. Another object is to provide a semiconductor device having high reliability using such an SOI substrate. An altered layer is formed on at least one surface of a glass substrate used as a base substrate of an SOI substrate to form the SOI substrate. The altered layer is formed on at least the one surface of the glass substrate by cleaning the glass substrate with solution including hydrochloric acid, sulfuric acid or nitric acid. The altered layer has a higher proportion of silicon oxide in its composition and a lower density than the glass substrate.
    Type: Application
    Filed: September 12, 2011
    Publication date: December 29, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Tetsuya KAKEHATA, Hideto OHNUMA, Yoshiaki YAMAMOTO, Kenichiro MAKINO
  • Patent number: 7859100
    Abstract: Provided are a thermal barrier coating material and a member coated with thermal barrier that can suppress the separation when used at a high temperature, and have a high thermal barrier effect; a method for manufacturing the member coated with thermal barrier; a turbine member coated with the thermal barrier coating material; and a gas turbine. More specifically provided are a shield coating member comprising a heat-resistant substrate, a bond coat layer formed on the heat-resistant substrate, and a ceramic layer formed on the bond coat layer, wherein the ceramic layer comprises a ceramic represented by a general formula A2Zr2O7, wherein A denotes a rare earth element, and the ceramic layer has (a) a porosity of 1 to 30%, (b) cracks in a thickness direction in pitches of 5 to 100% the total thickness of layers other than the bond coat layer on the heat-resistant substrate, or (c) columnar crystals.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: December 28, 2010
    Assignees: Mitsubishi Heavy Industries, Ltd., Tsinghua University
    Inventors: Taiji Torigoe, Ikuo Okada, Katsumi Namba, Kazutaka Mori, Wei Pan, Qiang Xu
  • Patent number: 7781881
    Abstract: Provided are a thermal barrier coating material and a member coated with thermal barrier that can suppress the separation when used at a high temperature, and have a high thermal barrier effect; a method for manufacturing the member coated with thermal barrier; a turbine member coated with the thermal barrier coating material; and a gas turbine. More specifically provided are a shield coating member comprising a heat-resistant substrate, a bond coat layer formed on the heat-resistant substrate, and a ceramic layer formed on the bond coat layer, wherein the ceramic layer comprises a ceramic represented by a general formula A2Zr2O7, wherein A denotes a rare earth element, and the ceramic layer has (a) a porosity of 1 to 30%, (b) cracks in a thickness direction in pitches of 5 to 100% the total thickness of layers other than the bond coat layer on the heat-resistant substrate, or (c) columnar crystals.
    Type: Grant
    Filed: December 13, 2005
    Date of Patent: August 24, 2010
    Assignees: Mitsubishi Heavy Industries, Ltd., Tsinghua University
    Inventors: Taiji Torigoe, Ikuo Okada, Katsumi Namba, Kazutaka Mori, Wei Pan, Qiang Xu
  • Patent number: 7566950
    Abstract: The present invention provides a method for fabricating a flexible pixel array substrate as follows. First, a release layer is formed on a rigid substrate. Next, on the release layer, a polymer film is formed, the adhesive strength between the rigid substrate and the release layer being higher than that between the release layer and the polymer film. The polymer film is formed by spin coating a polymer monomer and performing a curing process to form a polymer layer. Afterwards, a pixel array is formed on the polymer film. The polymer film with the pixel array formed thereon is separated from the rigid substrate.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: July 28, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Chin-Jen Huang, Jung-Fang Chang, Yih-Rong Luo, Yu-Hung Chen
  • Publication number: 20090166816
    Abstract: A method of fabricating a semiconductor device may include: forming an oxide film pattern and a poly film pattern over a semiconductor substrate to expose a portion of the surface of the semiconductor substrate; and then forming a spacer composed of a first insulating material on sidewalls of the oxide film pattern and the poly film pattern; and then forming a second insulating film over the semiconductor substrate including the spacer and the poly film, the second insulating film having a first portion formed over the exposed portion of the semiconductor substrate, a second portion formed over the poly film pattern and a third portion formed at an incline between the first and second portions.
    Type: Application
    Filed: December 28, 2008
    Publication date: July 2, 2009
    Inventor: Hee-Dae Kim
  • Patent number: 7470990
    Abstract: A circuitized substrate including a composite layer including a first dielectric sub-layer including a plurality of fibers having a low coefficient of thermal expansion and a second dielectric sub-layer of a low moisture absorptivity resin, the second dielectric sub-layer not including continuous or semi-continuous fibers or the like as part thereof. The substrate further includes at least one electrically conductive layer as part thereof. An electrical assembly and a method of making the substrate are also provided, as is an information handling system (e.g., computer) incorporating the circuitized substrate of the invention as part thereof.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: December 30, 2008
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Robert M. Japp, Irving Memis, Kostas I. Papathomas
  • Publication number: 20080283933
    Abstract: An integrated circuit structure and a method of forming the same are provided. The method includes providing a surface; performing an ionized oxygen treatment to the surface; forming an initial layer comprising silicon oxide using first process gases comprising a first oxygen-containing gas and tetraethoxysilane (TEOS); and forming a silicate glass over the initial layer. The method may further include forming a buffer layer using second process gases comprising a second oxygen-containing gas and TEOS, wherein the first and the second process gases have different oxygen-to-TEOS ratio.
    Type: Application
    Filed: May 15, 2007
    Publication date: November 20, 2008
    Inventors: Shiu-Ko JangJian, Wan-Ting Huang, Yu-Jen Chien, Phil Sun
  • Patent number: 7446395
    Abstract: The present invention provides a semiconductor device having dual nitride liners, a silicide layer, and a protective layer beneath one of the nitride liners for preventing the etching of the silicide layer. A first aspect of the invention provides a semiconductor device comprising a protective layer adjacent a first device, a first silicon nitride liner over the protective layer, a second silicon nitride liner adjacent a second device, and a first silicide layer adjacent the first device and a second silicide layer adjacent the second device, wherein a thickness is substantially the same in the first and second silicide layers.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Ying Li, Rajeev Malik, Shreesh Narasimha
  • Publication number: 20070164399
    Abstract: A hard coating combining excellent mold releasability with respect to glass with excellent durability at high temperature environment of 600° C. or more, and a glass molding die having the hard coating are provided. A glass molding die has a hard coating formed on a molding surface of a base. The hard coating includes one or two of W and V, and B, C and N; wherein when a composition of the coating is expressed as Wa1Va2BbCcNd, 0.1?a1+a2?0.5, 0.05?b?0.5, 0.02?c?0.15, 0.05?d?0.5, and a1+a2+b+c+d=1 are given. The hard coating can be formed on the molding surface of the base via an intermediate layer including an amorphous CrSiN film.
    Type: Application
    Filed: November 2, 2006
    Publication date: July 19, 2007
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventors: Hirotaka Ito, Kenji Yamamoto
  • Patent number: 7180129
    Abstract: A method of manufacturing an insulating layer that ensures reproducibility across like manufacturing apparatus. The insulating layer is formed on the substrate by (a) flowing an oxidizing gas at an oxidizing gas flow rate, (b) flowing a first carrier gas at a first carrier gas flow rate while carrying a first impurity including boron flowing at a first impurity flow rate, (c) flowing a second carrier gas at a second carrier gas flow rate while carrying a second impurity including phosphorus flowing at a second impurity flow rate, and (d) flowing a silicon source material at a silicon source flow rate. The second carrier gas flow rate is greater than the first carrier gas flow rate.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: February 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Chan Jung, Jin-Ho Jeon, Jeon-Sig Lim, Jong-Seung Yi
  • Patent number: 7115956
    Abstract: In the manufacture of a semiconductor device, there are provided a method that enables reduction in the number of manufacturing steps thereof and a structure for realizing the method, to thereby realize improvement in yield and reduction in manufacturing cost. Wirings (source wiring, drain wiring, and the like), which are respectively formed in a row direction and a column direction on an element substrate, are formed of the same conductive film. In this case, one of the respective wirings in the row direction and the column direction is discontinuously formed at a portion where the wirings intersect with each other, and an insulating film is formed on the wirings. Thereafter, a connection wiring for connecting discontinuous wirings is formed of the same film as that for forming an electrode provided on the insulating film. As a result, a continuous wiring is formed.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: October 3, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Osamu Nakamura, Hideaki Kuwabara, Noriko Shibata
  • Patent number: 7084508
    Abstract: A lower portion of an interlayer insulating film is formed contiguous with a semiconductor wafer. The lower portion has a high impurity concentration and a high etching rate. An upper portion of an interlayer insulating film is formed over the lower portion apart from the semiconductor wafer. The upper portion has a low impurity concentration and a low etching rate. A plurality of contact holes are formed through the interlayer insulating film by anisotropic etching. The bottom portion of each contact hole is expanded by isotropic etching, and a contact is formed in the contact hole. Thus, a satisfactory contact is formed in a hole of a large aspect ratio.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: August 1, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Takahisa Eimori
  • Patent number: 7075187
    Abstract: There is disclosed a coating material formulation for layering a plurality of electrodes to provide a substrate for the electrochemical synthesis of organic oligomers. Specifically, there is disclosed a coating layer of from about 0.5 to about 100 microns thick and is composed of a mixture of controlled porosity glass (CPG) particles having an average particle size of from about 0.25 to about 25 microns, and a thickening agent.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: July 11, 2006
    Assignee: CombiMatrix Corporation
    Inventor: Karl Maurer
  • Patent number: 7005724
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and an integrated circuit including the aforementioned semiconductor device. The semiconductor device, in accordance with the principles of the present invention, may include a substrate, and a graded capping layer located over the substrate, wherein the graded capping layer includes at least two different layers, wherein first and second layers of the at least two different layers have different stress values.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: February 28, 2006
    Assignee: Agere Systems Inc.
    Inventors: Nace Rossi, Alvaro Maury
  • Patent number: 6989579
    Abstract: The present invention provides a method for adhering dielectric layers to metals, in particular inert metals, using an adhesive layer comprising silicon-rich silicon nitride. Good adhesion is achieved at temperatures of less than 300° C., thereby facilitating the fabrication of semiconductor structures containing II–VI and III–V semiconductors.
    Type: Grant
    Filed: January 14, 2003
    Date of Patent: January 24, 2006
    Assignee: Lucent Technologies Inc.
    Inventors: Yang Yang, Chun-Ting Liu, Rose Kopf, Chen-Jung Chen, Laylay Chua
  • Patent number: 6864562
    Abstract: A semiconductor device of the present invention has (1) an active element provided on a semiconductor substrate, (2) an interlayer insulating film formed so as to cover the active element, (3) a pad metal for an electrode pad which is provided on the interlayer insulating film, (4) a barrier metal layer which is provided on the active element with the interlayer insulating film therebetween, so that the pad metal is formed on the barrier metal layer, and (5) an insulating layer having high adherence to the barrier metal layer, the insulating layer being provided between the interlayer insulating film and the barrier metal layer. With this arrangement, the adherence between the barrier metal layer, the insulating film and the interlayer insulating film is surely improved, and even in the case where an external force is applied to the electrode pad upon bonding or after bonding, the barrier metal layer hardly comes off the part thereunder.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: March 8, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kenji Toyosawa, Atsushi Ono, Yasunori Chikawa, Nobuhisa Sakaguchi, Nakae Nakamura, Yukinori Nakata
  • Patent number: 6730619
    Abstract: A method of manufacturing an insulating layer that ensures reproducibility across like manufacturing apparatus. The insulating layer is formed on the substrate by (a) flowing an oxidizing gas at an oxidizing gas flow rate, (b) flowing a first carrier gas at a first carrier gas flow rate while carrying a first impurity including boron flowing at a first impurity flow rate, (c) flowing a second carrier gas at a second carrier gas flow rate while carrying a second impurity including phosphorus flowing at a second impurity flow rate, and (d) flowing a silicon source material at a silicon source flow rate. The second carrier gas flow rate is greater than the first carrier gas flow rate.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: May 4, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Chan Jung, Jin-Ho Jeon, Jeon-Sig Lim, Jong-Seung Yi
  • Patent number: 6713390
    Abstract: A method is provided for depositing a barrier layer on a substrate using a gaseous mixture that includes a hydrocarbon-containing gas and a silicon-containing gas. The gaseous mixture is provided to a process chamber and is used to form a plasma for depositing the barrier layer. The barrier layer is deposited with a thickness less than 500 Å. Suitable hydrocarbon-containing gases include alkanes and suitable silicon-containing gases include silanes.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: March 30, 2004
    Assignee: Applied Materials Inc.
    Inventors: Hichem M'Saad, Seon Mee Cho, Dana Tribula
  • Publication number: 20040007765
    Abstract: A semiconductor device of this invention includes a silicon nitride film formed on a semiconductor substrate and having a density of 2.2 g/cm3 or less, and a silicon oxide film formed on the silicon nitride film in an ambient atmosphere containing TEOS and O3.
    Type: Application
    Filed: September 6, 2002
    Publication date: January 15, 2004
    Inventors: Susumu Hiyama, Akihito Yamamoto, Hiroshi Akahori, Shigehiko Saida
  • Patent number: 6650002
    Abstract: A semiconductor device of the present invention has (1) an active element provided on a semiconductor substrate, (2) an interlayer insulating film formed so as to cover the active element, (3) a pad metal for an electrode pad which is provided on the interlayer insulating film, (4) a barrier metal layer which is provided on the active element with the interlayer insulating film therebetween, so that the pad metal is i formed on the barrier metal layer, and (5) an insulating layer having high adherence to the barrier metal layer, the insulating layer being provided between the interlayer insulating film and the barrier metal layer. With this arrangement, the adherence between the barrier metal layer, the insulating film and the interlayer insulating film is surely improved, even in the case where an external force is applied to the electrode pad upon bonding or after bonding, the barrier metal layer hardly comes off the part thereunder.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: November 18, 2003
    Assignee: Sharp Kabushiki Kaishi
    Inventors: Kenji Toyosawa, Atsushi Ono, Yasunori Chikawa, Nobuhisa Sakaguchi, Nakae Nakamura, Yukinori Nakata
  • Patent number: 6633076
    Abstract: Methods and apparatus of the present invention deposit fluorinated silicate glass (FSG) in such a manner that it strongly adheres to an overlying or underlying barrier layer or etch stop layer, and has a lower dielectric constant, among other benefits. In one embodiment, silicon tetrafluoride (SiF4), oxygen (O2), and argon (Ar) are used as the reactant gases, with the ratio of oxygen to silicon controlled to be at between about 2:1 to 6:1. Such O2 levels help reduce the amount of degradation of ceramic chamber components otherwise caused by the elimination of silane from the process recipe.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: October 14, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Padmanabhan Krishnaraj, Robert Duncan, Joseph D'Souza, Alan W. Collins, Nasreen Chopra, Kimberly Branshaw
  • Publication number: 20030173654
    Abstract: A shielded multi-conductor interconnect bus for use in interconnecting MEM devices with control signal sources or the like and a method of fabricating a shielded multi-conductor interconnect bus are disclosed. In one embodiment, a shielded interconnect bus formed on a substrate (20) includes a plurality of electrically conductive lines (42) arranged in sets of one, two or more conductive lines between electrically conductive shield walls (46, 66). The electrically conductive lines (42) are surrounded by layers of dielectric material (30, 50). An electrically conductive shield (78) overlies the electrically conductive lines (42) and electrically conductive shield walls (46, 66).
    Type: Application
    Filed: March 15, 2002
    Publication date: September 18, 2003
    Inventors: Murray Steven Rodgers, Stephen Matthew Barnes
  • Patent number: 6614098
    Abstract: A method of fabricating a tungsten contact in a semiconductor device comprises providing an oxide layer on a region of a silicon substrate; depositing a sealing dielectric layer over the oxide layer; and depositing an interlevel dielectric layer over the sealing layer. The interlevel dielectric layer, the sealing dielectric layer and the oxide layer are then etched through as far as the substrate thereby to form a contact hole and to expose the said region. A dopant is implanted into the said region whereby the implanted dopant is self-aligned to the contact hole. The substrate is thermally annealed. Tungsten is selectively deposited in the contact hole and an interconnect layer is deposited over the deposited tungsten contact. The invention also provides a semiconductor device which incorporates a tungsten contact and which can be fabricated by the method.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: September 2, 2003
    Assignee: Inmos Limited
    Inventors: Howard Charles Nicholls, Michael John Norrington, Michael Kevin Thompson
  • Patent number: 6603192
    Abstract: Passivation for capacitive sensor circuits, which overlies the capacitive sensor electrodes and is normally conformal to the electrodes and the underlying interlevel dielectric, is planarized by forming a layer of flowable oxide over the electrodes before forming the passivation. The flowable oxide, which is preferably very thin over the electrodes to minimize loss of sensitivity, provides a substantially planar upper surface, so that passivation formed on the flowable oxide is also substantially planar. Alternatively, a deposited oxide planarized by chemical mechanical polishing may be employed to planarize the surface on which a passivation stack is formed. The planarized passivation provides markedly improved scratch resistance.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: August 5, 2003
    Assignee: STMicroelectronics, Inc.
    Inventors: Danielle A. Thomas, Harry Michael Siegel
  • Patent number: 6600228
    Abstract: A planarized surface of a photoresist layer is formed above a layer formed over a hole in a blanket, conformal, silicon nitride layer which in turn is formed above a keyhole in metallization with SOG layers therebetween on the surface of a semiconductor device. A blanket, first photoresist layer was formed above the blanket silicon nitride to fill the damage to the surface caused by the hole. Then the first photoresist layer was stripped leaving a residual portion of the first photoresist layer filling the hole. Next, a blanket, second photoresist layer was formed above the blanket layer. The hole has a neck with a width from about 200 Å to about 500 Å and the hole has a deep, pocket-like gap with a cross-section with a width from about 500 Å to about 1200 Å below the narrow neck.
    Type: Grant
    Filed: August 15, 2001
    Date of Patent: July 29, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yu-Hua Lee, Min-Hsiung Chiang, Jenn Ming Huang
  • Patent number: 6548873
    Abstract: A semiconductor device causes less element characteristic fluctuation and hardly causes parasitic actions even when a wire having a barrier metal made of a titanium material is provided. The semiconductor device includes a MOS transistor provided on the surface side of a semiconductor substrate, a first silicon oxide film, a silicon nitride film and a second silicon oxide film provided on the semiconductor substrate while covering the MOS transistor, and a wire having a barrier metal made of titanium material and provided on the insulating film, wherein the silicon nitride film covers the MOS transistor and has an opening on an element isolating region for isolating the MOS transistors. The silicon nitride film is formed in one and the same process as that of a dielectric film of a capacitor element.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: April 15, 2003
    Assignee: Sony Corporation
    Inventors: Hiroaki Ammo, Hiroyuki Miwa, Shigeru Kanematsu
  • Patent number: 6537902
    Abstract: A material layer which contains nitrogen atoms is formed on a first wiring or at a side surface of a first wiring. When etching for forming a via hole is carried out, nitrogen atoms contained in the material layer bind with CF molecules, CF2 molecules, CF3 molecules and the like contained in an etching gas, and compounds thus formed adhere to a surface of a silicon dioxide layer at side walls and a bottom portion of a via hole. As a result, once the material layer is exposed during etching for forming a hole, thereafter, the etching rate decreases. Accordingly even if there is misalignment of a via hole pattern with respect to a first wiring pattern when the via hole pattern is formed by lithography, etching of the silicon dioxide layer does not proceed to an underlying silicon substrate. Thus, short circuits are not formed between the first wiring and the silicon substrate via a second wiring layer which is deposited later.
    Type: Grant
    Filed: January 24, 2000
    Date of Patent: March 25, 2003
    Assignee: Oki Electric Industry Co, Ltd.
    Inventor: Toshiyuki Orita
  • Patent number: 6501141
    Abstract: A method for forming a self-aligned contact in a IC device is disclosed. In the method, a gate oxide layer, a polysilicon layer and a metal silicide layer are first deposited and patterned on a substrate. A first silicon dioxide layer is then deposited on the polysilicon layer followed by the deposition of a silicon nitride cap layer on the first silicon dioxide layer. A second silicon oxide layer is deposited on the silicon nitride cap layer and the stack is patterned forming an oxide-nitride-oxide hard mask. The substrate is then wet etched by an etchant that has low selectivity toward silicon oxide and high selectivity to nitride and silicide, thus forming a toroidal-shaped recess between the silicon nitride layer. A second silicon nitride layer is deposited over the whole substrate. A dielectric layer is formed over the whole substrate.
    Type: Grant
    Filed: August 13, 2001
    Date of Patent: December 31, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventor: Jen-Shiang Leu
  • Patent number: 6483173
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109 dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Lain-Jong Li, Shwangming Jeng, Syun-Ming Jang
  • Publication number: 20020163062
    Abstract: A structure/method for reducing the stress between a dielectric, passivation layer and a metallic structure comprising coating the metallic structure with a low stress modulus buffer material, and forming the dielectric passivation layer covering the low stress modulus buffer material. The low stress modulus buffer material is composed of a layer of a polymeric material selected from at least one of the group consisting of a hydrogen/alkane SQ (SilsesQuioxane) resin, polyimide, and a polymer resin. The dielectric, passivation layer is composed of at least one layer of a material selected from at least one of the group consisting of silicon oxide and silicon nitride. A protective layer is formed over the dielectric, passivation layer. The low stress modulus buffer material has a thermal coefficient of expansion between that of the metallic structure and that of the dielectric passivation layer.
    Type: Application
    Filed: February 26, 2001
    Publication date: November 7, 2002
    Applicant: International Business Machines Corporation
    Inventors: Ping-Chuan Wang, Robert Daniel Edwards, John C. Malinowski, Vidhya Ramachandran, Steffen Kaldor
  • Patent number: 6445072
    Abstract: One aspect of the present invention relates to a method of forming an innerlayer dielectric, involving the steps of providing a substrate having at least two metal lines thereon; providing a conformal insulation layer over the substrate and metal lines; forming a second insulation layer over the conformal insulation layer, the second insulation layer containing a void positioned between two metal lines; at least one of thinning and planarizing the second insulation layer; and forming a third insulation layer over the second insulation layer.
    Type: Grant
    Filed: July 17, 2000
    Date of Patent: September 3, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Bhanwar Singh, Michael K. Templeton, Bharath Rangarajan
  • Patent number: 6441467
    Abstract: A semiconductor device of the present invention has (1) an active element provided on a semiconductor substrate, (2) an interlayer insulating film formed so as to cover the active element, (3) a pad metal for an electrode pad which is provided on the interlayer insulating film, (4) a barrier metal layer which is provided on the active element with the interlayer insulating film therebetween, so that the pad metal is formed on the barrier metal layer, and (5) an insulating layer having high adherence to the barrier metal layer, the insulating layer being provided between the interlayer insulating film and the barrier metal layer. With this arrangement, the adherence between the barrier metal layer, the insulating film and the interlayer insulating film is surely improved, and even in the case where an external force is applied to the electrode pad upon bonding or after bonding, the barrier metal layer hardly comes off the part thereunder.
    Type: Grant
    Filed: April 22, 1998
    Date of Patent: August 27, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kenji Toyosawa, Atsushi Ono, Yasunori Chikawa, Nobuhisa Sakaguchi, Nakae Nakamura, Yukinori Nakata
  • Patent number: 6396078
    Abstract: A semiconductor device having an improved contact hole through an interlayer insulator. A first insulating film comprising silicon nitride is deposited. A second insulating film comprising silicon oxide is deposited on the first insulating film. The deposition condition of the second insulating film is varied during the deposition so that the etching rate of the second insulating film increases from a lower portion toward an upper portion. Thereby, a contact hole which is formed by etching through the first and second insulating films has a tapered configuration to improve a reliability of a connection made therein.
    Type: Grant
    Filed: June 19, 1996
    Date of Patent: May 28, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideki Uochi, Masahiko Hayakawa, Mitsunori Sakama, Toshimitsu Konuma, Shunpei Yamazaki
  • Patent number: 6396122
    Abstract: According to various disclosed embodiments, a conductor is patterned in a dielectric. The conductor can be patterned, for example, in the shape of a square spiral. The conductor can comprise, for example, copper, aluminum, or copper-aluminum alloy. The dielectric can be, for example, silicon oxide or a low-k dielectric. A spin-on matrix containing high permeability particles is then deposited adjacent to the patterned conductor. The high permeability particles comprise material having a permeability substantially higher than the permeability of the dielectric. The high permeability particles can comprise, for example, nickel, iron, nickel-iron alloy, or magnetic oxide. As a result, an inductor having a high inductance value is achieved without lowering the quality factor of the inductor.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: May 28, 2002
    Assignee: Newport Fab, LLC
    Inventors: David Howard, Bin Zhao, Q. Z. Liu
  • Patent number: 6376911
    Abstract: A final passivation structure for a semiconductor device having conductive lines formed on a surface of the semiconductor device, comprising a planarized layer covering the surface and also covering the conductive lines, and a diffusion barrier covering the planarized layer. Alternately, the planarized layer may partially cover the conductive lines.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: April 23, 2002
    Assignees: International Business Machines Corporation, Siemens Aktiengesellschaft, Toshiba Corporation
    Inventors: James Gardner Ryan, Alexander Mitwalsky, Katsuya Okumura
  • Patent number: 6362508
    Abstract: A CMOS memory device includes source and drain regions diffused into a substrate, a polysilicon gate structure formed over a channel region located between the first and second diffusion regions, and a pre-metal dielectric structure formed over the polysilicon gate structure. The pre-metal dielectric structure is a triple layer structure including a lower Borophosphosilicate glass (BPSG) layer formed over the polysilicon gate structure, a Nitride layer formed on the lower BPSG layer, and an upper dielectric layer (e.g., BPSG or USG) formed on the Nitride layer. The Phosphorous concentration in the lower BPSG layer is greater than the Phosphorous concentration in the upper dielectric layer, thereby providing retention protection for the underlying memory structures while facilitating optimal chemical mechanical polishing (CMP) planarization characteristics.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: March 26, 2002
    Assignee: Tower Semiconductor Ltd.
    Inventors: Michael Rasovsky, Menachem Vofsi, Zmira Shterenfeld-Lavie
  • Patent number: 6335561
    Abstract: A semiconductor device comprises a semiconductor substrate having an area in which a circuit element is formed, and a passivation film formed on an upper surface of the semiconductor substrate, at least part of the passivation film being uneven shaped film, an upper surface of which is formed into an uneven shape independent of a shape of a lower surface of the passivation film layer.
    Type: Grant
    Filed: January 20, 1999
    Date of Patent: January 1, 2002
    Assignee: Rohm Co., Ltd.
    Inventor: Shinya Imoto
  • Patent number: 6300667
    Abstract: A semiconductor device is fabricated first by thermocompression-bonding a silicon oxide film onto a plurality of conductive films under vacuum using a film having the silicon oxide film formed thereon and then by separating the base film from the silicon oxide film. During the separation, the base film, being composed of a fluorine-containing resin, has smaller surface energy than a silicon oxide film and thus is easy to separate, leaving the silicon oxide film on the conductive films. As a result, the silicon oxide film is adhered on the conductive films so as to cover the conductive films, and an air gap is hence provided between the conductive films. Thus, a highly reliable semiconductor device capable of high-speed operation is provided by controlling parasitic capacitances between interconnections arranged accurately and adequately adjacent to each other so that recent needs for further miniaturization and higher integration of semiconductor elements can be met.
    Type: Grant
    Filed: August 25, 1998
    Date of Patent: October 9, 2001
    Assignee: Nippon Steel Corporation
    Inventor: Yasushi Miyamoto
  • Patent number: 6300672
    Abstract: A semiconductor device and method of forming a patterned conductive layer on a semiconductor substrate are provided so as to prevent fluorine substance outflow from a fluorinated silicate glass (FSG) layer thereon and simultaneously so as to suppress back reflection of light waves into a photoresist layer during photolithographic processing. The substrate is coated in turn with a conductive layer, a dielectric (e.g., silicon dioxide) liner, a FSG layer, a silicon oxynitride layer preventing fluorine substance outflow therethrough from the FSG layer and also forming an antireflective coating (ARC), and a photoresist layer. The photoresist layer is exposed and developed to uncover pattern portions of the underlying silicon oxynitride layer. The uncovered pattern portions of the silicon oxynitride ARC layer and corresponding underlying portions of the FSG layer and dielectric liner are then removed, e.g., by a single dry etching step, to expose pattern portions of the conductive layer for metallization.
    Type: Grant
    Filed: July 22, 1998
    Date of Patent: October 9, 2001
    Assignee: Siemens Aktiengesellschaft
    Inventor: Gill Yong Lee
  • Publication number: 20010011761
    Abstract: A semiconductor device comprises a semiconductor substrate having an area in which a circuit element is formed; and a passivation film formed on an upper surface of the semiconductor substrate,
    Type: Application
    Filed: January 20, 1999
    Publication date: August 9, 2001
    Inventor: SHINYA IMOTO