Mosfet Type Gate Sidewall Insulating Spacer Patents (Class 257/900)
  • Patent number: 7829943
    Abstract: A multi-component low-k isolation spacer for a conductive region in a semiconductor structure is described. In one embodiment, a replacement isolation spacer process is utilized to enable the formation of a two-component low-k isolation spacer adjacent to a sidewall of a gate electrode in a MOS-FET device.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: November 9, 2010
    Assignee: Intel Corporation
    Inventor: Bernhard Sell
  • Patent number: 7829978
    Abstract: An N-MOS and/or P-MOS device having enhanced performance such as an FET suitable for use in a CMOS circuit. The device comprises both an “L-like” shaped layer or spacer on the side walls of a gate structure as well as a CESL (contact-etch stop layer) that covers the gate structure and surrounding substrate to induce increase tensile stresses in the N-MOS device and increased compressive stresses in the P-MOS device.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: November 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shang-Chih Chen, Shih-Hsieng Huang, Chih-Hao Wang
  • Patent number: 7808020
    Abstract: A semiconductor structure is provided that includes a spacer directly abutting a topographic edge of at least one patterned material layer. The spacer is a non-removable polymeric block component of a self-assembled block copolymer. A method of forming such a semiconductor structure including the inventive spacer is also provided that utilizes self-assembled block copolymer technology.
    Type: Grant
    Filed: October 9, 2007
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Carl J. Radens
  • Patent number: 7800158
    Abstract: There is provided a semiconductor device and a method of forming the same. The semiconductor device includes a memory device and a self-aligned selection device. A floating junction is formed between the self-aligned selection device and the memory device.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: September 21, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Seog Jeon, Jeong-Uk Han, Chang-Hun Lee, Sung-Taeg Kang
  • Patent number: 7786517
    Abstract: A semiconductor device and method of forming the same are provided. The example semiconductor device may include a gate pattern including a gate electrode and a capping layer pattern on a semiconductor substrate, a spacer covering first and second sidewalls of the gate pattern, an impurity injection region formed in the semiconductor substrate adjacent to the gate pattern and an etch stopping layer covering a surface of the semiconductor substrate adjacent to the spacer, the etch stopping layer substantially not covering the first and second sidewalls of the spacer and an upper surface of the capping layer pattern.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: August 31, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ki-Jae Hur
  • Patent number: 7768079
    Abstract: Embodiments of the invention generally relate to transistors with high-k dielectric spacer liner to mitigate lateral oxide encroachment. In this regard a semiconductor device is introduced having a substrate, a high-k gate dielectric layer on the substrate, a metal gate electrode on the high-k gate dielectric layer, and a high-k dielectric layer on either side of and adjacent to the metal gate electrode and high-k gate dielectric layer, extending a distance away from the metal gate electrode and high-k gate dielectric layer on the substrate. Other embodiments are also disclosed and claimed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: August 3, 2010
    Assignee: Intel Corporation
    Inventors: Justin S. Sandford, Willy Rachmady
  • Patent number: 7768078
    Abstract: In one embodiment, a semiconductor device is formed in a body of semiconductor material. The semiconductor device includes a counter-doped drain region spaced apart from a channel region.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: August 3, 2010
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Gary H. Loechelt, Peter J. Zdebel
  • Patent number: 7759745
    Abstract: A drain (7) includes a lightly-doped shallow impurity region (7a) aligned with a control gate (5), and a heavily-doped deep impurity region (7b) aligned with a sidewall film (8) and doped with impurities at a concentration higher than that of the lightly-doped shallow impurity region (7a). The lightly-doped shallow impurity region (7a) leads to improvement of the short-channel effect and programming efficiency. A drain contact hole forming portion (70) is provided to the heavily-doped impurity region (7b) to reduce the contact resistance at the drain (7).
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: July 20, 2010
    Assignees: Fujitsu Limited, Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Hideki Komori, Hisayuki Shimada, Yu Sun, Hiroyuki Kinoshita
  • Patent number: 7750415
    Abstract: Embodiments herein present a structure, method, etc. for making high density MOSFET circuits with different height contact lines. The MOSFET circuits include a contact line, a first gate layer situated proximate the contact line, and at least one subsequent gate layer situated over the first gate layer. The contact line includes a height that is less than a combined height of the first gate layer and the subsequent gate layer(s). The MOSFET circuits further include gate spacers situated proximate the gate layers and a single contact line spacer situated proximate the contact line. The gate spacers are taller and thicker than the contact line spacer.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 7741663
    Abstract: Miniaturized complex transistor devices are formed with reduced leakage and reduced miller capacitance. Embodiments include transistors having reduced capacitance between the gate electrode and source/drain contact, as by utilizing a low-K dielectric constant sidewall spacer material. An embodiment includes forming a gate electrode on a semiconductor substrate, forming a sidewall spacer on the side surfaces of the gate electrode, forming source/drain regions by ion implantation, forming an interlayer dielectric over the gate electrode, sidewall spacers, and substrate, and forming a source/drain contact through the interlayer dielectric. The sidewall spacers and interlayer dielectric are then removed. A dielectric material, such as a low-K dielectric material, is then deposited in the gap between the gate electrode and the source/drain contact so that an air gap is formed, thereby reducing the parasitic “miller” capacitance.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: June 22, 2010
    Assignee: Globalfoundries Inc.
    Inventors: Fred Hause, Anthony C. Mowry, David G. Farber, Markus E. Lenski
  • Patent number: 7737484
    Abstract: A semiconductor memory device comprises a memory cell unit including at least one memory cell having a structure with a floating gate and a control gate stacked via an insulator on a semiconductor substrate. A common source line is connected to one end of the memory cell unit. A bit line is connected to the other end of the memory cell unit. The control gate has at least an upper portion with a width along the gate length formed wider than the width of the floating gate.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: June 15, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masato Endo
  • Publication number: 20100133612
    Abstract: The use of strained gate electrodes in integrated circuits results in a transistor having improved carrier mobility, improved drive characteristics, and reduced source drain junction leakage. The gate electrode strain can be obtained through non symmetric placement of stress inducing structures as part of the gate electrode.
    Type: Application
    Filed: February 1, 2010
    Publication date: June 3, 2010
    Inventors: Gurtej S. Sandhu, Kunal R. Parekh
  • Patent number: 7701019
    Abstract: An exemplary embodiment relates to a method for forming a metal oxide semiconductor field effect transistor (MOSFET). The method includes providing a substrate having a gate formed above the substrate and performing at least one of the following depositing steps: depositing a spacer layer and forming a spacer around a gate and gate insulator located above a layer of silicon above the substrate; depositing an etch stop layer above the spacer, the gate, and the layer of silicon; and depositing a dielectric layer above the etch stop layer. At least one of the depositing a spacer layer, depositing an etch stop layer, and depositing a dielectric layer comprises high compression deposition which increases in tensile strain in the layer of silicon.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: April 20, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh V. Ngo, Paul R. Besser, Ming Ren Lin, Haihong Wang
  • Patent number: 7687338
    Abstract: Embodiments of the invention provide a method of forming embedded silicon germanium (eSiGe) in source and drain regions of a p-type field-effect-transistor (pFET) through a disposable spacer process; depositing a gap-filling layer directly on the eSiGe in the source and drain regions in a first process; depositing a layer of offset spacer material on top of the gap-filling layer in a second process different from the first process; etching the offset spacer material and the gap-filling layer, thus forming a set of offset spacers and exposing the eSiGe in the source and drain regions of the pFET; and finishing formation of the pFET.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sameer Jain, Shreesh Narasimha, Karen A. Nummy, Viorel Ontalus, Jang H. Sim
  • Patent number: 7683440
    Abstract: A drain (7) includes a lightly-doped shallow impurity region (7a) aligned with a control gate (5), and a heavily-doped deep impurity region (7b) aligned with a sidewall film (8) and doped with impurities at a concentration higher than that of the lightly-doped shallow impurity region (7a). The lightly-doped shallow impurity region (7a) leads to improvement of the short-channel effect and programming efficiency. A drain contact hole forming portion (70) is provided to the heavily-doped impurity region (7b) to reduce the contact resistance at the drain (7).
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: March 23, 2010
    Assignees: Fujitsu Limited, Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Hideki Komori, Hisayuki Shimada, Yu Sun, Hiroyuki Kinoshita
  • Patent number: 7667227
    Abstract: A semiconductor device includes a gate electrode formed on a silicon substrate via a gate insulation film in correspondence to a channel region, source and drain regions of a p-type diffusion region formed in the silicon substrate at respective outer sides of sidewall insulation films of the gate electrode, and a pair of SiGe mixed crystal regions formed in the silicon substrate at respective outer sides of the sidewall insulation films in epitaxial relationship to the silicon substrate, the SiGe mixed crystal regions being defined by respective sidewall surfaces facing with each other, wherein, in each of the SiGe mixed crystal regions, the sidewall surface is defined by a plurality of facets forming respective, mutually different angles with respect to a principal surface of the silicon substrate.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: February 23, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yosuke Shimamune, Akira Katakami, Akiyoshi Hatada, Masashi Shima, Naoyoshi Tamura
  • Patent number: 7659561
    Abstract: Methods of forming spacers on sidewalls of features of semiconductor devices and structures thereof are disclosed. A preferred embodiment comprises a semiconductor device including a workpiece and at least one feature disposed over the workpiece. A first spacer is disposed on the sidewalls of the at least one feature, the first spacer comprising a first material. A first liner is disposed over the first spacer and over a portion of the workpiece proximate the first spacer, the first liner comprising the first material. A second spacer is disposed over the first liner, the second spacer comprising a second material. A second liner is disposed over the second spacer, the second liner comprising the first material.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: February 9, 2010
    Assignee: Infineon Technologies AG
    Inventor: O Sung Kwon
  • Patent number: 7655984
    Abstract: A semiconductor device using a CESL (contact etch stop layer) to induce strain in, for example, a CMOS transistor channel, and a method for fabricating such a device. A stress-producing CESL, tensile in an n-channel device and compressive in a p-channel device, is formed over the device gate structure as a discontinuous layer. This may be done, for example, by depositing an appropriate CESL, then forming an ILD layer, and simultaneously reducing the ILD layer and the CESL to a desired level. The discontinuity preferably exposes the gate electrode, or the metal contact region formed on it, if present. The upper boundary of the CESL may be further reduced, however, to position it below the upper boundary of the gate electrode.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: February 2, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Liang Chen, Wen-Chih Yang, Chii-Horng Li, Harry Chuang
  • Patent number: 7656049
    Abstract: The use of strained gate electrodes in integrated circuits results in a transistor having improved carrier mobility, improved drive characteristics, and reduced source drain junction leakage. The gate electrode strain is obtained through non symmetric placement of stress inducing structures as part of the gate electrode. Silicon nitride layers may be placed on one side of the gate electrode in a compressive mode, or on the other side of the gate electrode in a tensile mode to obtain similar results.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: February 2, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Kunal R. Parekh
  • Patent number: 7655991
    Abstract: Sidewall spacers on the gate of a MOS device are formed from stressed material so as to provide strain in the channel region of the MOS device that enhances carrier mobility. In a particular embodiment, the MOS device is in a CMOS cell that includes a second MOS device. The first MOS device has sidewall spacers having a first (e.g., tensile) type of residual mechanical stress, and the second MOS device has sidewall spacers having a second (e.g., compressive) type of residual mechanical stress. Thus, carrier mobility is enhanced in both the PMOS portion and in the NMOS portion of the CMOS cell.
    Type: Grant
    Filed: September 8, 2005
    Date of Patent: February 2, 2010
    Assignee: XILINX, Inc.
    Inventors: Deepak Kumar Nayak, Yuhao Luo
  • Patent number: 7622732
    Abstract: Heterostructure devices incorporate carbon nanotube technology to implement rectifying devices including diodes, rectifiers, silicon-controlled rectifiers, varistors, and thyristors. In a specific implementation, a rectifying device includes carbon nanotube and nanowire elements. The carbon nanotubes may be single-walled carbon nanotubes. The devices may be formed using parallel pores of a porous structure. The porous structure may be anodized aluminum oxide or another material. A device of the invention may be especially suited for high power applications.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: November 24, 2009
    Assignee: Atomate Corporation
    Inventor: Thomas W. Tombler, Jr.
  • Patent number: 7582934
    Abstract: A semiconductor device comprises a semiconductor mesa overlying a dielectric layer, a gate stack formed overlying the semiconductor mesa, and an isolation spacer formed surrounding the semiconductor mesa and filling any undercut region at edges of the semiconductor mesa.
    Type: Grant
    Filed: March 1, 2008
    Date of Patent: September 1, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chih-Hsin Ko, Wen-Chin Lee, Yee-Chia Yeo, Chung-Hu Ke
  • Patent number: 7566924
    Abstract: Embodiments of the invention provide a semiconductor device and a fabrication method for a semiconductor device that includes the processes of forming multiple gates on a silicon substrate, forming a gate spacer having a positive slope at the gate spacer edge, depositing a polysilicon layer on the silicon substrate between the gates, etching a portion of the polysilicon layer to form an opening exposing a portion of the silicon substrate, and forming an inter-insulation layer to the exposed portion of the silicon substrate to fill the opening. Using an annealing process applied to a layer in the gate spacer, the etch selectivity can be selectively controlled and consequently, the degree of slope at the gate spacer edge is predetermined.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: July 28, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Huhn Lee, Mun-Mo Jeong, Wook-je Kim
  • Patent number: 7560759
    Abstract: A fin type MOSFET and a method of manufacturing the fin type MOSFET are disclosed. Gate structures in the fin type MOSFET are formed by a damascene process without a photolithography process. Impurities used to form a channel region are selectively implanted into portions of a semiconductor substrate adjacent to the gate structures.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: July 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Soo Kang, Jae-Man Yoon, Dong-Gun Park, Sang-Yeon Han, Young-Joon Ahn, Choong-Ho Lee
  • Patent number: 7541653
    Abstract: Disclosed are a mask ROM device and a method of forming the same. This device includes a plurality of cells. At least one among the plurality of cells is programmed. The programmed cell includes a cell gate pattern, cell source/drain regions, a cell insulating spacer, a cell metal silicide, and a cell metal pattern. The cell metal pattern is extended along a surface of a cell capping pattern being the uppermost layer of the cell insulating spacer and the cell gate pattern to be electrically connected to cell metal silicide at opposing sides of the cell gate pattern.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: June 2, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kyoung-Hwan Kim
  • Patent number: 7538387
    Abstract: A semiconductor structure includes a first compound layer including an element, and a first impurity having a first impurity concentration; and a second compound layer including the element and a second impurity of a same conductivity type as the first impurity, wherein the second impurity has a second impurity concentration, and wherein the second compound layer is on the first compound layer. The semiconductor structure further includes a third compound layer including the element and a third impurity of a same conductivity type as the first impurity, wherein the third impurity has a third impurity concentration, and wherein the third compound layer is on the second compound layer, and wherein the second impurity concentration is substantially lower than the first and the third impurity concentrations.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: May 26, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Pang-Yen Tsai
  • Patent number: 7511340
    Abstract: Semiconductor devices have gate structures on a semiconductor substrate with first spacers on sidewalls of the respective gate structures. First contact pads are positioned between the gate structures and have heights lower than the heights of the gate structures. Second spacers are disposed on sidewalls of the first spacers and on exposed sidewalls of the first contact pads. Second contact pads are disposed on the first contact pads.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: March 31, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Deok-Hyung Lee, Si-Young Choi, Byeong-Chan Lee, Chul-Sung Kim, In-Soo Jung, Jong-Ryeol Yoo
  • Patent number: 7510923
    Abstract: Slim spacers are implemented in transistor fabrication. More particularly, wide sidewall spacers are initially formed and used to guide dopants into source/drain regions in a semiconductor substrate. The wide sidewall spacers are then removed and slim sidewall spacers are formed alongside a gate stack of the transistor. The slim spacers facilitate transferring stress from an overlying pre metal dielectric (PMD) liner to a channel of the transistor, and also facilitate reducing a resistance in the transistor by allowing silicide regions to be formed closer to the channel. This mitigates yield loss by facilitating predictable or otherwise desirable behavior of the transistor.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: March 31, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Manoj Mehrotra, Karen Hildegard Ralston Kirmse, Shirin Siddiqui
  • Patent number: 7501325
    Abstract: The method for fabricating the semiconductor device comprises: the step of forming a ferroelectric capacitor over a semiconductor substrate 10; the step of forming an insulating film 54, covering the ferroelectric capacitor; the step of processing thermal treatment to eliminate hydrogen and/or water adsorbed on a surface of the insulating film 54 or occluded in the insulating film 54; and the step of forming a capacitor protective film 56 of an aluminum oxide film over the insulating film 54. The step of processing the thermal treatment and the step of forming the capacitor protective film are performed continuously in the same system without exposing to an ambient atmosphere.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: March 10, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Katsuyoshi Matsuura, Naoya Sashida
  • Patent number: 7482660
    Abstract: A nonvolatile semiconductor memory according to an example of the present invention is provided with a memory cell having a floating gate electrode and a control gate electrode, and a select gate transistor having a select gate electrode and connected in series to the memory cell. A cell unit is comprised with the memory cell and the select gate transistor. A bird's beak of the edge at the memory cell side of the select gate electrode is larger than a bird's beak of at least one edge of the floating gate electrode.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: January 27, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kanji Osari
  • Patent number: 7470961
    Abstract: A semiconductor device provided with a semiconductor silicon substrate and gate wiring provided on the semiconductor silicon substrate via a gate oxide film, where the gate wiring has a gate electrode, a gate wiring upper structure provided in contact with the gate electrode, and a side wall spacer, the side wall spacer is comprised of one kind or two or more kinds of inorganic compound insulating layers, and at least one kind of the inorganic compound insulating layer is comprised of silicon oxynitride with a nitrogen content ranging from 30 to 70%.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: December 30, 2008
    Assignee: Elpida Memory Inc.
    Inventor: Fumiki Aiso
  • Patent number: 7459758
    Abstract: A gate structure in a transistor and method for fabricating the structure. A gate structure is formed on a substrate. The gate structure includes three layers: an oxide layer, a nitride layer and a polysilicon layer. The oxide layer is located on the substrate, the nitride layer is located on the oxide layer, and the polysilicon layer is located on the nitride layer. The gate structure is reoxidized to form a layer of oxide over the gate structure.
    Type: Grant
    Filed: May 16, 2001
    Date of Patent: December 2, 2008
    Assignee: STMicroelectronics, Inc.
    Inventor: Frank Randolph Bryant
  • Patent number: 7456508
    Abstract: A hosting structure of nanometric components is described comprising a substrate, a first multi-spacer level comprising a first plurality of spacers including first conductive spacers parallel to each other, and at least a second multi-spacer level realized above said first multi-spacer level and comprising a second plurality of spacers arranged transversally to said first plurality of spacers and including at least a lower discontinuous insulating layer and an upper layer, including in turn second conductive spacers. In particular, each pair of spacers of the second multi-spacer level defines with a spacer of the first multi-spacer level a plurality of nanometric hosting seats having at least a first and a second conduction terminal realized by portions of the first conductive spacers and of the second conductive spacers faced in the hosting seats. A method for manufacturing such a structure is also described.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: November 25, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventors: Danilo Mascolo, Gianfranco Cerofolini, Gianguido Rizzotto
  • Patent number: 7446354
    Abstract: In one embodiment, a semiconductor device is formed in a body of semiconductor material. The semiconductor device includes a counter-doped drain region spaced apart from a channel region.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: November 4, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Gary H. Loechelt, Peter J. Zdebel
  • Patent number: 7439124
    Abstract: Method of manufacturing a semiconductor device includes: forming a substrate protection film to cover an n-type FET forming region having a first gate electrode and a p-type FET forming region having a second gate electrode; opening the p-type FET forming region by patterning a resist film after the resist film is formed to cover the n-type FET and p-type FET forming regions; exposing the surface of the semiconductor substrate by selectively removing the substrate protection film in the p-type FET forming region, leaving the film only on side walls of the second gate electrode; forming a pair of p-type extension regions at both sides of the second gate electrode, by doping impurities to the semiconductor substrate, with the resist film, the second gate electrode, and the substrate protection film formed on side walls of the second electrode; and removing the resist film formed on the n-type FET forming region.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: October 21, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Toshinori Fukai, Akihito Sakakidani
  • Patent number: 7435683
    Abstract: Embodiments of an apparatus and methods for fabricating a spacer on one part of a multi-gate transistor without forming a spacer on another part of the multi-gate transistor are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: October 14, 2008
    Assignee: Intel Corporation
    Inventors: Jack T. Kavalieros, Uday Shah, Willy Rachmady, Brian S. Doyle
  • Patent number: 7436029
    Abstract: A semiconductor device structure includes at least two field effect transistors formed on same substrate, the first field effect transistor includes a spacer having a first width, the second field effect transistor includes a compressive spacer having a second width, the first width being different than said second width. Preferably, the first width is narrower than the second width. A tensile stress dielectric film forms a barrier etch stop layer over the transistors.
    Type: Grant
    Filed: October 4, 2007
    Date of Patent: October 14, 2008
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Dureseti Chidambarrao, Suk Hoon Ku
  • Patent number: 7405450
    Abstract: Semiconductor devices that include a semiconductor substrate and a gate line are provided. The gate line is on the semiconductor substrate and includes a gate insulation pattern and a gate electrode which are stacked on the substrate in the order named. A spacer is on a sidewall of the gate line. A conductive line pattern is on the gate line. The conductive line pattern is parallel with the gate line and is electrically connected to the gate electrode.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: July 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyu-Ho Lyu, Soon-moon Jung, Sung-bong Kim, Hoon Lim, Won-Seok Cho
  • Patent number: 7378712
    Abstract: A gate stack structure. The structure includes (a) a semiconductor region and (b) a gate stack on top of the semiconductor region. The gate stack includes (i) a gate dielectric region on top of the semiconductor region, (ii) a first gate polysilicon region on top of the gate dielectric region, and (iii) a second gate polysilicon region on top of the first gate polysilicon region and doped with a type of dopants. The structure further includes (c) a diffusion barrier region and a spacer oxide region on a side wall of the gate stack. The diffusion barrier region (i) is sandwiched between the gate stack and the spacer oxide region and (ii) is in direct physical contact with both the first and second gate polysilicon regions, and (iii) comprises a material having a property of preventing a diffusion of oxygen-containing materials through the diffusion barrier region.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: May 27, 2008
    Assignee: International Business Machines Corporation
    Inventors: Dale W. Martin, Steven M. Shank, Michael C. Triplett, Deborah A. Tucker
  • Patent number: 7375394
    Abstract: The present invention includes a semiconductor layer formed over an insulation layer and a substrate. Doped regions are formed in a portion of the semiconductor layer. A gate dielectric and a gate are respectively formed over the semiconductor layer. The arrangement of the gate sidewall and semiconductor layer surface is substantially orthogonal, multi-portion dielectric layer is formed on the gate and a portion of the silicon layer. Charge trapping dielectrics are attached on the multi-portion dielectric layer acting as carrier trapping structure. The gate-to-source/drain non-overlapped implantation is capable of storing multi-bits per transistor.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: May 20, 2008
    Assignee: Applied Intellectual Properties Co., Ltd.
    Inventor: Erik S. Jeng
  • Patent number: 7375392
    Abstract: Sidewall spacers are disclosed that extend on opposing sidewalls of gate stacks. The sidewall spacers have improved profiles to suppress or eliminate void formation between the gate stacks during gap-filling A gate dielectric layer is formed on a semiconductor substrate. Then, a gate stack 24 having a sidewall is formed over the gate dielectric layer. The gate stack 24 comprises a conductive layer 28 and a hard mask 30 overlying the conductive layer 28. A liner 32 is selectively deposited over the gate stack 24 such that the liner 32 is deposited on the hard mask 30 at a rate lower than the rate of deposition on the conductive layer 28. Thus, the liner 32 is substantially thinner on the hard mask 30 than on the conductive layer 28. A nitride spacer is formed over 34 the liner 32. A PMD layer is formed over the resultant structure, filling the gaps between adjacent gate stacks.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: May 20, 2008
    Assignee: Integrated Device Technology, Inc.
    Inventors: Chih-Hsiang Chen, Guo-Qiang Lo, Shih-Ked Lee
  • Patent number: 7358571
    Abstract: A semiconductor device comprises a semiconductor mesa overlying a dielectric layer, a gate stack formed overlying the semiconductor mesa, and an isolation spacer formed surrounding the semiconductor mesa and filling any undercut region at edges of the semiconductor mesa.
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: April 15, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chih-Hsin Ko, Wen-Chin Lee, Yee-Chia Yeo, Chung-Hu Ke
  • Patent number: 7345296
    Abstract: Single-walled carbon nanotube transistor and rectifying devices, and associated methods of making such devices include a porous structure for the single-walled carbon nanotubes. The porous structure may be anodized aluminum oxide or another material. Electrodes for source and drain of a transistor are provided at opposite ends of the single-walled carbon nanotube devices. A gate region may be provided one end or both ends of the porous structure. The gate electrode may be formed into the porous structure. A transistor of the invention may be especially suited for power transistor or power amplifier applications.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: March 18, 2008
    Assignee: Atomate Corporation
    Inventors: Thomas W. Tombler, Jr., Brian Y. Lim
  • Patent number: 7339230
    Abstract: Embodiments herein present a structure, method, etc. for making high density MOSFET circuits with different height contact lines. The MOSFET circuits include a contact line, a first gate layer situated proximate the contact line, and at least one subsequent gate layer situated over the first gate layer. The contact line includes a height that is less than a combined height of the first gate layer and the subsequent gate layer(s). The MOSFET circuits further include gate spacers situated proximate the gate layers and a single contact line spacer situated proximate the contact line. The gate spacers are taller and thicker than the contact line spacer.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: March 4, 2008
    Assignee: International Business Machines Corporation
    Inventor: Huilong Zhu
  • Patent number: 7321155
    Abstract: A strained channel transistor and method for forming the same, the strained channel transistor including a semiconductor substrate; a gate dielectric overlying a channel region; a gate electrode overlying the gate dielectric; source drain extension (SDE) regions and source and drain (S/D) regions; wherein a stressed dielectric portion selected from the group consisting of a pair of stressed offset spacers disposed adjacent the gate electrode and a stressed dielectric layer disposed over the gate electrode including the S/D regions is disposed to exert a strain on a channel region.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: January 22, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hsin Ko, Wen-Chin Lee, Chung-Hu Ge
  • Patent number: 7301219
    Abstract: An asymmetrically doped memory cell has first and second N+ doped junctions on a P substrate. A composite charge trapping layer is disposed over the P substrate and between the first and the second N+ doped junctions. A N? doped region is positioned adjacent to the first N+ doped junction and under the composite charge trapping layer. A P? doped region is positioned adjacent to the second N+ doped junction and under the composite charge trapping layer. The asymmetrically doped memory cell will store charges at the end of the composite charge trapping layer that is above the P? doped region. The asymmetrically doped memory cell can function as an electrically erasable programmable read only memory cell, and is capable of multiple level cell operations. A method for making an asymmetrically doped memory cell is also described.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: November 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Tzu-Hsuan Hsu, Yen-Hao Shih, Ming-Hsiu Lee
  • Patent number: 7291895
    Abstract: A silicon nitride comprising layer formed over a semiconductor substrate includes Al, Ga or a mixture thereof. A silicon dioxide comprising layer is formed proximate thereto. The silicon dioxide comprising layer is removed substantially selectively relative to the silicon nitride comprising layer, with the Al, Ga or a mixture thereof enhancing selectivity to the silicon nitride comprising layer during the removal. A substantially undoped silicon dioxide comprising layer formed over a semiconductor substrate includes B, Al, Ga or mixtures thereof. A doped silicon dioxide comprising layer is formed proximate thereto. The doped silicon dioxide comprising layer is removed substantially selectively relative to the substantially undoped silicon dioxide comprising layer, with the B, Al, Ga or mixtures thereof enhancing selectivity to the substantially undoped silicon dioxide comprising layer during the removal. Integrated circuitry is also disclosed.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: November 6, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Shane J. Trapp, Brian F. Lawlor
  • Patent number: 7279758
    Abstract: The present invention relates to a semiconductor device including at least one n-channel field effect transistor (n-FET). Specifically, the n-FET includes first and second patterned stressor layers that both contain a carbon-substituted and tensilely stressed single crystal semiconductor. The first patterned stressor layer has a first carbon concentration and is located in source and drain (S/D) extension regions of the n-FET at a first depth. The second patterned stressor layer has a second, higher carbon concentration and is located in S/D regions of the n-FET at a second, deeper depth. Such an n-FET with the first and second patterned stressor layers of different carbon concentration and different depths provide improved stress profile for enhancing electron mobility in the channel region of the n-FET.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: October 9, 2007
    Assignee: International Business Machines Corporation
    Inventors: Jinghong H. Li, Yaocheng Liu, Zhijiong Luo, Anita Madan, Nivo Rovedo
  • Patent number: 7279746
    Abstract: A semiconductor device structure includes at least two field effect transistors formed on same substrate, the first field effect transistor includes a spacer having a first width, the second field effect transistor includes a compressive spacer having a second width, the first width being different than said second width. Preferably, the first width is narrower than the second width. A tensile stress dielectric film forms a barrier etch stop layer over the transistors.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: October 9, 2007
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Dureseti Chidambarrao, Suk Hoon Ku
  • Patent number: RE40486
    Abstract: Disclosed is a self-aligned non-volatile memory cell including a small sidewall spacer electrically coupled and being located next to a main floating gate region. Both the small sidewall spacer and the main floating gate region are formed on a substrate and both form the floating gate of the non-volatile memory cell. Both are isolated electrically from the substrate by an oxide layer which is thinner between the small sidewall spacer and the substrate; and is thicker between the main floating gate region and the substrate. The small sidewall spacer can be made small; therefore, the thin oxide layer area can also be made small to create a small pathway for electrons to tunnel into the floating gate.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: September 9, 2008
    Assignee: Atmel Corporation
    Inventors: Bohumil Lojek, Alan L. Renninger