Internal Lead Connections, E.g., Via Connections, Feedthrough Structures (epo) Patents (Class 257/E23.011)
  • Patent number: 8378493
    Abstract: A semiconductor interconnect architecture provides a reduction in the intersection of vias on the last layer (“VL”) and holes in the last thin metal layer (“MLHOLE”) without degradation of the product yield or robustness, or increases copper dishing. The mutation of some dense redundant VLs to MLHOLEs decreases the number of intersections between VLs and MLHOLEs.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: February 19, 2013
    Assignees: Infineon Technologies AG, International Business Machines Corporation, United Mircroelectronics Co.
    Inventors: Robert C. Wong, Ernst H. Demm, Pak Leung, Alexander M. Hirsch
  • Patent number: 8378500
    Abstract: A stacked semiconductor device and a method of forming a serial path of the stacked semiconductor device are provided. The stacked semiconductor device includes a plurality of chips each having a first internal circuit for receiving an input signal, performing a designated operation and outputting an output signal. Each of the chips includes a serial bump disposed at the same position on one surface of each of the chips, receiving the input signal and transferring the input signal to the first internal circuit, and a serial through-silicon via (TSV) disposed at a position symmetrical to the serial bump with respect to a center of the chip to penetrate the chip, and receiving and transferring the output signal. Here, the chips are alternately rotated and stacked, so that the serial TSV and the serial bumps of adjacent chips contact each other.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: February 19, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Young-Don Choi
  • Publication number: 20130037960
    Abstract: Methods of forming bonded semiconductor structures include forming through wafer interconnects through a layer of material of a first substrate structure, bonding one or more semiconductor structures over the layer of material, and electrically coupling the semiconductor structures with the through wafer interconnects. A second substrate structure may be bonded over the processed semiconductor structures on a side thereof opposite the first substrate structure. A portion of the first substrate structure then may be removed, leaving the layer of material with the through wafer interconnects therein attached to the processed semiconductor structures. At least one through wafer interconnects then may be electrically coupled to a conductive feature of another structure, after which the second substrate structure may be removed. Bonded semiconductor structures are formed using such methods.
    Type: Application
    Filed: August 9, 2011
    Publication date: February 14, 2013
    Applicant: S.O.I.TEC SILICON ON INSULATOR TECHNOLOGIES
    Inventors: Mariam Sadaka, Bich-Yen Nguyen
  • Publication number: 20130037944
    Abstract: A chip stack package includes a first semiconductor chip, a second semiconductor chip and a third semiconductor chip. The first semiconductor chip includes a first through silicon via that extends through the first semiconductor chip. The second semiconductor chip is stacked on the first semiconductor chip, and includes a second through silicon via that extends through the second semiconductor chip. The second through silicon via is disposed on the first through silicon via, and has a cross-sectional area smaller than that of the first through silicon via. The third semiconductor chip is stacked on the first semiconductor chip, and includes a third through silicon via that extends through the third semiconductor chip. The third through silicon via is disposed on the second through silicon via, and has a cross-sectional area smaller than that of the second through silicon via.
    Type: Application
    Filed: August 7, 2012
    Publication date: February 14, 2013
    Inventors: Byung-Hyun Lee, Hoon Lee
  • Publication number: 20130037953
    Abstract: A manufacturing method for a through silicon via structure includes the following steps. First, a substrate is provided, and a through silicon hole is formed in the substrate. An outer plasma enhanced oxide layer is formed on the surface of the through silicon hole, and then a liner layer is formed on the surface of the outer plasma enhanced oxide layer. An inner plasma enhanced oxide layer is formed on the surface of the liner layer. Finally, a conductor is formed on the surface of the inner plasma enhanced oxide layer to completely fill the through silicon hole.
    Type: Application
    Filed: August 10, 2011
    Publication date: February 14, 2013
    Inventors: Hsin-Yu Chen, Ching-Li Yang
  • Publication number: 20130037964
    Abstract: A semiconductor package substrate may include a first semiconductor chip, a second semiconductor chip, plugs and interconnection terminals. The second semiconductor chip may be arranged on an upper surface of the first semiconductor chip. The first and second semiconductor chips may have corresponding first regions and corresponding second regions. Conductive plugs may be built only in a first region of the first semiconductor chip. Circuitry of the second semiconductor chip may only be electrically connected to the first semiconductor chip through the conductive connectors corresponding to the first regions of the first and second semiconductor chips.
    Type: Application
    Filed: August 6, 2012
    Publication date: February 14, 2013
    Inventors: Hoon Lee, Sang-Bo Lee
  • Publication number: 20130037961
    Abstract: A semiconductor device that may prevent an unexposed substrate and generation of bowing profile during a process for forming an open region having a high aspect ratio, and a method for fabricating the semiconductor device. The semiconductor device includes a first material layer formed over a substrate, an open region formed in the first material layer that exposes the first material layer, a second material layer formed on sidewalls of the open region, wherein the second material layer is a compound material including an element of the first material layer, and a conductive layer formed inside the open region.
    Type: Application
    Filed: December 21, 2011
    Publication date: February 14, 2013
    Inventors: Sung-Kwon LEE, Jun-Hyeub SUN, Su-Young KIM, Jong-Sik BANG
  • Publication number: 20130037963
    Abstract: An integrated circuit structure includes a first conductive layer and an under bump metallization layer over the first conductive layer. The first conductive layer has a first conductive region and a second conductive region electrically isolated from the first conductive region. The under bump metallization layer has a first conductive area and a second conductive area electrically isolated from the first conductive area, the first conductive area substantially located over the first conductive region and the second conductive area substantially located over the second conductive region. At least one of the first conductive area or the first conductive region includes a first protrusion extending toward the second conductive area or second conductive region, respectively. Conductive vias connect the first conductive region to the second conductive area and connect the second conductive region to the first conductive area, and the vias include at least one via connected to the first protrusion.
    Type: Application
    Filed: April 24, 2012
    Publication date: February 14, 2013
    Inventors: Ilija Jergovic, Efren M. Lacap
  • Publication number: 20130037943
    Abstract: A semiconductor device includes a semiconductor substrate, which includes a through hole that extends through the semiconductor substrate. An insulative layer includes a first surface, an opposite second surface covering the semiconductor substrate, and an opening aligned with the through hole. An insulative film covers an inner wall surface of the semiconductor substrate and the opening. A through electrode is formed in the through hole and the opening inward from the insulative film. The through electrode includes a first end surface that forms a pad exposed from the first surface of the insulative layer. The first end surface of the through electrode is flush with the first surface of the insulative layer.
    Type: Application
    Filed: August 3, 2012
    Publication date: February 14, 2013
    Applicant: Shinko Electric Industries Co., Ltd.
    Inventor: Takaharu Yamano
  • Publication number: 20130037948
    Abstract: Semiconductor devices are described that have a through-substrate via formed therein. In one or more implementations, the semiconductor devices include a top wafer and a bottom wafer bonded together with a patterned adhesive material. The top wafer and the bottom wafer include one or more integrated circuits formed therein. The integrated circuits are connected to one or more conductive layers deployed over the surfaces of the top and bottom wafers. A via is formed through the top wafer and the patterned adhesive material so that an electrical interconnection can be formed between the integrated circuits formed in the top wafer and the integrated circuits formed in the bottom wafer. The via includes a conductive material that furnishes the electrical interconnection between the top and bottom wafers.
    Type: Application
    Filed: August 9, 2011
    Publication date: February 14, 2013
    Applicant: Maxim Integrated Products, Inc.
    Inventors: Arkadii V. Samoilov, Tyler Parent, Xuejun Ying
  • Publication number: 20130037962
    Abstract: A method to provide a wafer level package with increasing contact pad area comprising the steps of forming a first packaging layer on wafer top surface, grinding the wafer back surface and etch through holes, depositing a metal to fill the through holes and covering wafer backside, cutting through the wafer from wafer backside forming a plurality of grooves separating each chip then depositing a second packaging layer filling the grooves and covering the wafer back metal, reducing the first packaging layer thickness to expose the second packaging layer filling the grooves and forming a plurality of contact pads overlaying the first packaging layer thereafter cutting through the second packaging layer in the grooves to form individual package.
    Type: Application
    Filed: March 23, 2012
    Publication date: February 14, 2013
    Inventor: Yan Xun Xue
  • Patent number: 8373265
    Abstract: A package substrate includes a core board having a through hole; a circuit layer formed on the core board; a metallic ring disposed on the core board surrounding a contour of the through hole, the metallic ring having opening portions positioned opposite to each other, making the metallic ring having a disconnected manner; and an embedded component installed in the through hole. When the embedded component is deviated in the through hole to allow the electrodes to be in contact with the metallic ring, the electrodes are prevented from coming into contact with the same section of the metallic ring to thereby avoid short circuit.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: February 12, 2013
    Assignee: Unimicron Technology Corporation
    Inventor: Chih-Kuei Yang
  • Publication number: 20130032948
    Abstract: A semiconductor device including a substrate having grooves is provided. The semiconductor device includes a substrate including a first surface, a second surface opposite to the first surface, an opening penetrating from the first surface to the second surface, and a first groove formed at a side of the opening, a semiconductor chip formed on the opening at the first surface of the substrate and flip-chip bonded to the first surface by a plurality of first external connection terminals, and a molding unit filling a region between the substrate and the semiconductor chip, filling the opening and filling at least a portion of the first groove, and covering the semiconductor chip.
    Type: Application
    Filed: June 14, 2012
    Publication date: February 7, 2013
    Inventors: Chan PARK, Tae-Sung PARK
  • Publication number: 20130032950
    Abstract: An integrated circuit die includes conductive connection sites located at least on a surface of the integrated circuit die within a contiguous region thereof. The integrated circuit also includes a core circuit located outside the contiguous region. The core circuit is coupled to at least one of the connection sites.
    Type: Application
    Filed: April 13, 2011
    Publication date: February 7, 2013
    Applicant: RAMBUS INC.
    Inventors: Frederick A. Ware, Ely Tsern, Thomas Vogelsang
  • Publication number: 20130032944
    Abstract: A microelectronic package may include a stacked microelectronic unit including at least first and second vertically stacked microelectronic elements each having a front face facing a top surface of the package. The front face of the first element may be adjacent the top surface, and the first element may overlie the front face of the second element such that at least a portion of the front face of the second element having an element contact thereon extends beyond an edge of the first element. A conductive structure may electrically connect a first terminal at the top surface to an element contact at the front face of the second element, and include a continuous monolithic metal feature extending along the top surface and through at least a portion of an encapsulant, which is between the top surface and the front face of the second element, towards the element contact.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 7, 2013
    Applicant: TESSERA, INC.
    Inventors: Hiroaki Sato, Norihito Masuda, Belgacem Haba, Ilyas Mohammed
  • Publication number: 20130032925
    Abstract: A semiconductor device comprises a first external terminal having a first size, a plurality of second external terminals each having a second size smaller than the first size, an external terminal area in which the first external terminal and the second external terminals are arranged, and a plurality of wires connecting between the second external terminals and a plurality of circuits formed adjacent to the external terminal area and corresponding to the second external terminals. The second external terminals and the wires constitute a plurality of interfaces. Each of the interfaces includes at least one adjustment portion that adjusts a time constant of the wire so that the wires have the same time constant. At least part of the adjustment portions is located in a margin area produced in the external terminal area by a difference between the first size and the second size.
    Type: Application
    Filed: July 20, 2012
    Publication date: February 7, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Tomohiro KITANO, Hisayuki NAGAMINE
  • Patent number: 8368227
    Abstract: The present disclosure relates to a semiconductor process, a semiconductor element and a package having a semiconductor element. The semiconductor element includes a base material and at least one through via structure. The base material has a first surface, a second surface, at least one groove and at least one foundation. The groove opens at the first surface, and the foundation is disposed on the first surface. The through via structure is disposed in the groove of the base material, and protrudes from the first surface of the base material. The foundation surrounds the through via structure. Whereby, the foundation increases the strength of the through via structure, and prevents the through via structure from cracking.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: February 5, 2013
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventor: Bin-Hong Cheng
  • Patent number: 8368228
    Abstract: Using developed photo-resist materials as insulator materials for through-hole connections, the preferred embodiments of the present invention improve the area efficiency of electrical devices manufactured on silicon substrates. The area efficiency is further improved by opening holes from both sides of silicon substrate to form through-holes. Besides area efficiency, these methods also provide better control in parasitic impedance of through-hole connection.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: February 5, 2013
    Inventor: Jeng-Jye Shau
  • Publication number: 20130026654
    Abstract: A semiconductor device has a plurality of semiconductor die or components mounted over a carrier. A leadframe is mounted over the carrier between the semiconductor die. The leadframe has a plate and bodies extending from the plate. The bodies of the leadframe are disposed around a perimeter of the semiconductor die. An encapsulant is deposited over the carrier, leadframe, and semiconductor die. A plurality of conductive vias is formed through the encapsulant and electrically connected to the bodies of the leadframe and contact pads on the semiconductor die. An interconnect structure is formed over the encapsulant and electrically connected to the conductive vias. A first channel is formed through the interconnect structure, encapsulant, leadframe, and partially through the carrier. The carrier is removed to singulate the semiconductor die. A second channel is formed through the plate of the leadframe to physically separate the bodies of the leadframe.
    Type: Application
    Filed: October 4, 2012
    Publication date: January 31, 2013
    Applicant: STATS CHIPPAC, LTD.
    Inventor: Stats ChipPac, Ltd.
  • Publication number: 20130026649
    Abstract: A semiconductor device includes a plurality of protrusions formed on a first face of the semiconductor device; first bonding portions formed on upper portions of the plurality of protrusions; second bonding portions formed on side faces of the plurality of protrusions; and third bonding portions formed on the first face between the plurality of protrusions, wherein the semiconductor device is configured to bond to an other semiconductor device through the third from the first bonding portions.
    Type: Application
    Filed: June 18, 2012
    Publication date: January 31, 2013
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Masashi Takenaka, Katsuyoshi Yamamoto
  • Publication number: 20130026653
    Abstract: In a manufacturing method of a semiconductor device incorporating a semiconductor element in a multilayered wiring structure including a plurality of wiring layers and insulating layers, a semiconductor element is mounted on a silicon support body whose thickness is reduced to a desired thickness and which are equipped with a plurality of through-vias running through in the thickness direction; an insulating layer is formed to embed the semiconductor element; then, a plurality of wiring layers is formed on the opposite surfaces of the silicon support body in connection with the semiconductor element. Thus, it is possible to reduce warping which occurs in proximity to the semiconductor element in manufacturing, thus improving a warping profile in the entirety of a semiconductor device. Additionally, it is possible to prevent semiconductor elements from becoming useless, improve a yield rate, and produce a thin-type semiconductor device with high-density packaging property.
    Type: Application
    Filed: March 22, 2011
    Publication date: January 31, 2013
    Applicant: NEC CORPORATION
    Inventors: Shintaro Yamamichi, Katsumi Kikuchi, Yoshiki Nakashima, Kentaro Mori
  • Publication number: 20130026650
    Abstract: A semiconductor device is made up of an organic substrate; through vias which penetrate the organic substrate in its thickness direction; external electrodes and internal electrodes provided to the front and back faces of the organic substrate and electrically connected to the through vias; a semiconductor element mounted on one main surface of the organic substrate via a bonding layer, with an element circuit surface thereof facing upward; an insulating material layer for sealing the semiconductor element and a periphery thereof; a metal thin film wiring layer provided in the insulating material layer, with a part of this metal thin film wiring layer being exposed on an external surface; metal vias provided in the insulating material layer and electrically connected to the metal thin film wiring layer; and external electrodes formed on the metal thin film wiring layer.
    Type: Application
    Filed: July 24, 2012
    Publication date: January 31, 2013
    Inventors: Osamu Yamagata, Akio Katsumata, Hiroshi Inoue, Shigenori Sawachi, Satoru Itakura, Yasuhiro Yamaji
  • Publication number: 20130026641
    Abstract: A conductor contact structure includes a conductor line, a dielectric layer and a contact hole. The conductor line includes a first zone and a second zone. The first zone extends along a symmetry axis and is symmetrical with respect to the symmetry axis. The second zone extends along the symmetry axis but is not symmetrical with respect to the symmetry axis. A distance between a first edge of the second zone and the symmetry axis is greater than a distance between a second edge of the second zone and the symmetry axis. A contact hole is formed in the dielectric layer and in communication with the second zone. A diameter of the contact hole is smaller than a distance between the first edge and the second edge of the second zone.
    Type: Application
    Filed: July 25, 2011
    Publication date: January 31, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: Chin-Sheng YANG
  • Publication number: 20130026652
    Abstract: A semiconductor device which has a plurality of semiconductor chips stacked on a substrate. The semiconductor device includes semiconductor chip 2, semiconductor chip 3a stacked on substrate 4 together with semiconductor chip 2, and having a foot print larger than semiconductor chip 2, through electrode 22 extending through semiconductor chip 2 only in a central portion of semiconductor chip 2, through electrode 32 extending through semiconductor chip 3a at a position facing to through electrode 22, and conduction bump 7b arranged between through electrode 22 and through electrode 32, and conductively connecting through electrode 22 with through electrode 32.
    Type: Application
    Filed: October 1, 2012
    Publication date: January 31, 2013
    Applicant: Elpida Memory, Inc.
    Inventor: Elpida Memory, Inc.
  • Publication number: 20130026651
    Abstract: A semiconductor package includes a substrate having a substrate body possessing a first region, a second region which is defined around the first region and a third region which is defined around the second region. Wiring lines are placed on the substrate body, and the wiring lines have first ends that extend to the third region. Connection patterns are placed in the third region and are electrically connected to the first ends of the wiring lines. A to semiconductor chip is disposed in the first region and is electrically connected to the respective wiring lines, and a molding member is disposed in the first and second regions and covers the semiconductor chip.
    Type: Application
    Filed: September 28, 2012
    Publication date: January 31, 2013
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: HYNIX SEMICONDUCTOR INC.
  • Publication number: 20130026643
    Abstract: Methods of fabricating multi-die assemblies including a wafer segment having no integrated circuitry thereon and having a plurality of vertically stacked dice thereon electrically interconnected by conductive through vias, resulting multi-die assemblies, and semiconductor devices comprising such multi-die assemblies. The wafer segment may function as a heat sink to enhance heat transfer from the stacked dice in the resulting multi-die assembly. The die stacks are fabricated at the wafer level on a base wafer, from which the wafer segment and die stacks are singulated after at least peripheral encapsulation.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Luke G. England, Paul A. Silvestri, Michel Koopmans
  • Publication number: 20130026631
    Abstract: Disclosed are a semiconductor apparatus and a manufacturing method thereof. The manufacturing method of the semiconductor apparatus includes: forming a semiconductor chip on a semiconductor substrate; adhering a carrier wafer with a plurality of through holes onto the semiconductor chip; polishing the semiconductor substrate; forming a first via hole at the rear side of the polished semiconductor substrate; forming a first metal layer below the polished semiconductor substrate and at the first via hole; and removing the carrier wafer from the polished semiconductor substrate.
    Type: Application
    Filed: July 16, 2012
    Publication date: January 31, 2013
    Applicant: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventor: Byoung-Gue MIN
  • Publication number: 20130026645
    Abstract: A component can include a substrate having a front surface and a rear surface remote therefrom, an opening extending from the rear surface towards the front surface, and a conductive via extending within the opening. The substrate can have a CTE less than 10 ppm/° C. The opening can define an inner surface between the front and rear surfaces. The conductive via can include a first metal layer overlying the inner surface and a second metal region overlying the first metal layer and electrically coupled to the first metal layer. The second metal region can have a CTE greater than a CTE of the first metal layer. The conductive via can have an effective CTE across a diameter of the conductive via that is less than 80% of the CTE of the second metal region.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: TESSERA, INC.
    Inventors: Ilyas Mohammed, Belgacem Haba, Cyprian Uzoh
  • Publication number: 20130026646
    Abstract: A method for forming passivated through wafer vias, passivated through wafer via structures, and passivated through wafer via design structures. The method includes: forming a through wafer via in a semiconductor substrate, the through wafer via comprising an electrical conductor extending from a top of the semiconductor substrate to a bottom surface of the semiconductor substrate; and forming a doped layer abutting all sidewalls of the electrical conductor, the doped layer of a same dopant type as the semiconductor substrate, the concentration of dopant in the doped layer greater than the concentration of dopant in the semiconductor substrate, the doped layer intervening between the electrical conductor and the semiconductor substrate.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James W. Adkisson, Jeffrey P. Gambino, Mark D. Jaffe, Alvin J. Joseph
  • Publication number: 20130026648
    Abstract: Disclosed is a film for forming a semiconductor protection film, which protects a surface of a semiconductor element that is mounted on a structure such as a substrate and is located on the outermost side, the surface being on the reverse side of the surface at which the semiconductor element is mounted on the structure, and the resin composition constituting the film for forming a semiconductor protection film contains (A) a thermosetting component and (B) an inorganic filler.
    Type: Application
    Filed: August 5, 2010
    Publication date: January 31, 2013
    Inventors: Takashi Hirano, Masato Yoshida
  • Publication number: 20130026570
    Abstract: After formation of a semiconductor device on a semiconductor-on-insulator (SOI) layer, a first dielectric layer is formed over a recessed top surface of a shallow trench isolation structure. A second dielectric layer that can be etched selective to the first dielectric layer is deposited over the first dielectric layer. A contact via hole for a device component located in or on a top semiconductor layer is formed by an etch. During the etch, the second dielectric layer is removed selective to the first dielectric layer, thereby limiting overetch into the first dielectric layer. Due to the etch selectivity, a sufficient amount of the first dielectric layer is present between the bottom of the contact via hole and a bottom semiconductor layer, thus providing electrical isolation for the ETSOI device from the bottom semiconductor layer.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Su Chen Fan, Balasubramanian S. Haran, David V. Horak
  • Publication number: 20130026599
    Abstract: A semiconductor device includes an isolation portion penetrating a semiconductor substrate from a first surface to a second surface positioned opposite the first surface. The isolation portion includes a first insulating film and a second insulating film. The first insulating film has a slit portion at a side of the first surface and the slit portion is buried with the second insulating film. The semiconductor device further includes an electrode penetrating the semiconductor substrate that is surrounded by the isolation portion.
    Type: Application
    Filed: July 27, 2012
    Publication date: January 31, 2013
    Applicant: Elpida Memory, Inc.
    Inventors: Nobuyuki NAKAMURA, Takuyuki MURAMOTO, Takeo TSUKAMOTO
  • Publication number: 20130026642
    Abstract: An integrated circuit package including a semiconductor die and a flexible circuit (flex circuit), and a method for forming the integrated circuit package. The flex circuit can include a direct connect pad which is not electrically coupled to an active trace, a blind via electrically coupled to the direct connect pad, and a semiconductor die having a bond pad which is electrically coupled to the direct connect pad using a conductor. The bond pad, the conductor, the direct connect pad, and the blind via can all be vertically aligned, each with the other.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Inventors: Kenneth Robert Rhyner, Peter R. Harper
  • Patent number: 8362623
    Abstract: A first contact hole that passes through a planarizing film layered on a first interlayer insulating film, a second interlayer insulating film that covers the surface of the planarizing film and the inner surface of the first contact hole, a third interlayer insulating film layered on the second interlayer insulating film, and a second contact hole formed with a small inner diameter inside the first contact hole and passing through the first to the third interlayer insulating films are formed. Over the third interlayer insulating film and inside the second contact hole, a second conductive film electrically connected to a first conductive film is formed.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: January 29, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Makoto Nakazawa, Mitsunobu Miyamoto
  • Patent number: 8362613
    Abstract: The present disclosure is directed to a semiconductor die having a chip outline boundary, a die seal, a row of input/output contact pads separated from the chip outline boundary by the die seal, a first row of solder bump connections positioned between the row of input/output contact pads and the die seal, and a second row of solder bump connections separated from the first row of solder bump connections by the row of input/output contact pads.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: January 29, 2013
    Assignee: STMicroelectronics Pvt Ltd.
    Inventors: Anil Yadav, Sanjeev Kumar Jain, Rajesh Bajaj
  • Patent number: 8362619
    Abstract: A nonvolatile memory device comprises a semiconductor substrate comprising alternating, parallel active regions and isolation regions; first and second selection lines intersecting the active regions and the isolation regions; first junctions formed in the active regions between the first and second selection lines; spacers formed on sidewalls of the first and second selection lines; second junctions deeper than the first junctions formed in the first junctions, respectively; contact plugs coupled to one side of the respective second junctions; and dummy plugs coupled second sides of the respective second junctions.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: January 29, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sun Mi Park
  • Patent number: 8361901
    Abstract: An electronic package and method and system for forming the electronic package. The electronic package has a first substrate including a first electronic device and including through-holes extending through an entire thickness of the first substrate. The electronic package has a second substrate bonded to the first substrate, metallizations formed in the through-holes of the first substrate to connect to components of the first electronic device, and a patternable substance disposed between the first substrate and the second substrate and adhering the first substrate and the second substrate together in regions apart from the metallizations.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: January 29, 2013
    Assignee: Research Triangle Institute
    Inventors: Erik P Vick, Dean M. Malta, Matthew R. Lueck, Dorota Temple
  • Publication number: 20130020722
    Abstract: A semiconductor device has a through electrode formed in a through hole which penetrates a Si substrate from one surface to the other surface of the Si substrate, wherein a rectangular electrode pad is provided on the other surface with an insulation film laid between the electrode pad and the other surface, an opening of the through hole on the one surface side is circular, an opening of the through hole on the other surface side is rectangular, and the area of the opening on the other surface side is made smaller than the area of the opening on the one surface side.
    Type: Application
    Filed: September 24, 2012
    Publication date: January 24, 2013
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Selko Epson Corporation
  • Publication number: 20130020717
    Abstract: An embodiment of the disclosure includes a method of forming a semiconductor structure. A substrate has a region adjacent to a shallow trench isolation (STI) structure in the substrate. A patterned mask layer is formed over the substrate. The patterned mask layer covers the STI structure and a portion of the region, and leaves a remaining portion of the region exposed. A distance between an edge of the remaining portion and an edge of the STI structure is substantially longer than 1 nm. The remaining portion of the region is etched thereby forms a recess in the substrate. A stressor is epitaxially grown in the recess. A conductive plug contacting the stressor is formed.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Mei-Hsuan LIN, Chih-Hsun LIN, Chih-Kang CHAO, Ling-Sung WANG
  • Publication number: 20130020716
    Abstract: The chip stack of semiconductor chips with enhanced cooling apparatus includes a first chip with circuitry on a first side and a second chip electrically and mechanically coupled to the first chip by a grid of connectors. The apparatus further includes a thermal interface material pad placed between the first chip and the second chip, wherein the thermal interface material pad includes nanofibers aligned parallel to mating surfaces of the first chip and the second chip. The method includes creating a first chip with circuitry on a first side and creating a second chip electrically and mechanically coupled to the first chip by a grid of connectors. The method further includes placing a thermal interface material pad between the first chip and the second chip, wherein the thermal interface material pad includes nanofibers aligned parallel to mating surfaces of the first chip and the second chip.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joseph KUCZYNSKI, Arvind K. SINHA, Kevin A. SPLITTSTOESSER, Timothy J. TOFIL
  • Publication number: 20130020721
    Abstract: A semiconductor device includes a semiconductor substrate, a through silicon via that penetrates through the semiconductor substrate in a thickness direction thereof, a first insulating region, a second insulating region formed below the first principal surface of the semiconductor substrate, and an isolation region made of an insulating material buried in a second trench formed below the first principal surface of the semiconductor substrate. The first insulating region is made of an insulating material buried in a first groove that surrounds the through silicon via and penetrates through the semiconductor substrate from a first principal surface thereof to a second principal surface thereof. The second insulating region is deeper than the second trench and shallower than the first trench.
    Type: Application
    Filed: July 16, 2012
    Publication date: January 24, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Yutaka NAKAE, Nobuyuki NAKAMURA, Tomohiko INOKUCHI, Hidenori YAMAGUCHI
  • Publication number: 20130020707
    Abstract: A 3D IC based system including: a first semiconductor layer including first alignment marks and first transistors, wherein the first transistors are interconnected by at least one metal layer including aluminum or copper; a second mono-crystallized semiconductor layer including second transistors and overlaying the at least one metal layer, wherein the at least one metal layer is in-between the first semiconductor layer and the second mono-crystallized semiconductor layer; and wherein the second transistors include a plurality of N-type transistors and P-type transistors, and wherein the second mono-crystallized semiconductor layer is transferred from a reusable donor wafer.
    Type: Application
    Filed: September 20, 2012
    Publication date: January 24, 2013
    Applicant: MONOLITHIC 3D INC.
    Inventors: Zvi Or-Bach, Deepak Sekar, Brian Cronquist, Ze'ev Wurman
  • Publication number: 20130020683
    Abstract: A semiconductor package includes a substrate including a substrate body having a first face and a second face opposing the first face. A first through electrode passes through the substrate body between the first face and the second face. An insulation member is disposed over the first face; and a connection member having a first conductive unit disposed inside of the insulation member is electrically connected to the first through electrode, and a second conductive unit electrically connected to the first conductive unit is exposed at side faces of the insulation member. A semiconductor chip having third and fourth faces is disposed over the first face of the substrate body in a vertical direction. A second through electrode passes through the substrate body between the third and fourth faces and is electrically connected to the second conductive unit.
    Type: Application
    Filed: September 25, 2012
    Publication date: January 24, 2013
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: HYNIX SEMICONDUCTOR INC.
  • Publication number: 20130020718
    Abstract: The present invention provides a MEMS structure comprising confined sacrificial oxide layer and a bonded Si layer. Polysilicon stack is used to fill aligned oxide openings and MEMS vias on the sacrificial layer and the bonded Si layer respectively. To increase the design flexibility, some conductive polysilicon layer can be further deployed underneath the bonded Si layer to form the functional sensing electrodes or wiring interconnects. The MEMS structure can be further bonded to a metallic layer on top of the Si layer and the polysilicon stack.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Bruce C.S. Chou
  • Publication number: 20130020675
    Abstract: An inductor for an integrated circuit can include a first turn comprising a first through silicon via (TSV) coupled to a second TSV. The inductor can include a third TSV coupled to the second TSV.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 24, 2013
    Applicant: XILINX, INC.
    Inventors: Vassili Kireev, James Karp
  • Patent number: 8358002
    Abstract: Embodiments of the present disclosure provide window ball grid array semiconductor packages. A semiconductor package includes a substrate having (i) a first surface, (ii) a second surface that is opposite to the first surface, and (iii) an opening formed between the first surface of the substrate and the second surface of the substrate. The semiconductor package further includes a semiconductor die having (i) a first surface and (ii) a second surface that is opposite to the first surface, the first surface of the semiconductor die being electrically coupled to the second surface of the substrate by one or more interconnect bumps; one or more bonding wires that electrically couple the first surface of the semiconductor die to the first surface of the substrate through the opening of the substrate; and a first electrically insulative structure disposed to substantially fill an area between the first surface of the semiconductor die, the second surface of the substrate, and the one or more interconnect bumps.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: January 22, 2013
    Assignee: Marvell World Trade Ltd.
    Inventor: Sehat Sutardja
  • Patent number: 8358009
    Abstract: A semiconductor device with a transistor region has a first conductor pattern formed within a multilayer interconnect structure positioned under a signal line and above the transistor region. The first conductor pattern is coupled to ground or a power supply and overlaps the transistor region. The signal line overlaps the first conductor pattern.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: January 22, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Masayuki Furumiya, Yasutaka Nakashiba, Akira Tanabe
  • Patent number: 8358017
    Abstract: Embodiments in accordance with the present invention relate to flip-chip packages for semiconductor devices, which feature a die sandwiched between metal layers. One metal layer comprises portions of the lead frame configured to be in electrical and thermal communication with various pads on a first surface of the die (e.g. IC pads or MOSFET gate or source pads) through a solder ball contact. The other metal layer is configured to be in at least thermal communication with the opposite side of the die. Embodiments of packages in accordance with the present invention exhibit superior heat dissipation qualities, while avoiding the expense of wire bonding. Embodiments of the present invention are particularly suited for packaging of power devices.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: January 22, 2013
    Assignee: GEM Services, Inc.
    Inventor: Anthony C. Tsui
  • Patent number: 8358006
    Abstract: A semiconductor device having a via chain circuit including a plurality of fine interconnections and an extension interconnection wider than the fine interconnections, having a first end connected to one or more of the fine interconnections and a second end located in an area of the semiconductor device external to the via chain circuit. One or more of the fine interconnections becomes wider gradually towards the connection to the extension interconnection. The extension interconnection is formed in a same layer as the one or more of the fine interconnections connected to the extension interconnection. The one or more of the fine interconnections connected to the extension interconnection is connected to the extension interconnections at a position where the fine interconnections become wider.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: January 22, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Yoshihisa Matsubara
  • Patent number: 8358007
    Abstract: A method of manufacture of an integrated circuit system includes: fabricating a substrate having an integrated circuit; applying a low-K dielectric layer over the integrated circuit; forming a via and a trench, in the low-K dielectric layer, over the integrated circuit; forming a structure surface by a chemical-mechanical planarization (CMP) process; and applying a direct implant to the structure surface for forming an implant layer and a metal passivation layer including repairing damage, to the low-K dielectric layer, caused by the CMP process.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: January 22, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Dong Kyun Sohn, Wuping Liu, Fan Zhang, Juan Boon Tan, Jing Hui Li, Bei Chao Zhang, Luying Du, Wei Liu, Yeow Kheng Lim