Including Shutter, Diaphragm, Polarizer Or Filter Patents (Class 355/71)
  • Publication number: 20140132942
    Abstract: The disclosure relates to optical systems of a microlithographic projection exposure apparatus, and to a microlithographic exposure method. According to an aspect of the disclosure, an optical system has a light source, a ray-splitting optical element, which splits a light ray incident on this element when the projection exposure apparatus is in operation into a first partial ray and a second partial ray, with the first and the second partial ray having mutually orthogonal polarization directions, and at least one ray-deflecting optical element for generating a desired polarized illumination setting from the first partial ray and the second partial ray, wherein the ray-splitting optical element is arranged such that light incident on this ray-splitting optical element when the projection exposure apparatus is in operation has a degree of polarization of less than one.
    Type: Application
    Filed: December 30, 2013
    Publication date: May 15, 2014
    Inventors: Ingo Saenger, Joerg Zimmermann, Johannes Ruoff, Martin Meier, Frank Schlesener, Christoph Hennerkes
  • Publication number: 20140118715
    Abstract: In a laser interference lithography apparatus, a laser source provides a first laser beam, and an optics assembly is optically coupled to the laser source and receives and processes the first laser beam into one or multiple second laser beams. An exposure stage carries a to-be-exposed object. The fiber assembly receives and processes the second laser beam(s) into one or multiple single mode and stable coherent third laser beams without spatial noise. An interference pattern is generated on the to-be-exposed object using the third laser beam(s). The apparatus is configured without a pin hole spatial filter and a beam expander being disposed on an optical path from an output end of the laser source to the exposure stage.
    Type: Application
    Filed: March 13, 2013
    Publication date: May 1, 2014
    Applicant: NATIONAL TSING HUA UNIVERSITY
    Inventors: Chien-Chung FU, Yi-Lin SUN, David Gerard MIKOLAS, Pao-Te LIN, En-Chiang CHANG, Tze-Bin HUANG
  • Patent number: 8699000
    Abstract: An illumination system for a lithographic apparatus comprises a radiation intensity filter for controlling the intensity distribution of a beam of radiation travelling along an optical axis (Z), the radiation intensity filter comprising a first member and a second member. Each of the first and second members comprise a plurality of opaque regions which are substantially opaque to the radiation beam. The first member and second member are moveable relative to one another between a first relative position and a second relative position. In the first relative position at least a portion of one of the opaque regions of the first member overlaps in the direction of the optical axis with a portion of one of the opaque regions of the second member. In the second relative position the total area of overlap in the direction of the optical axis of the opaque regions of the first member with the opaque regions of the second member is less than that in the first relative position.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: April 15, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Steffen Winkler, Marco Matheus Louis Steeghs
  • Patent number: 8692974
    Abstract: A method of measuring aberration present in a lithographic apparatus comprising the following steps. Modulating a radiation beam using a reflective patterning device. Projecting the radiation beam using a projection system. Detecting the projected radiation using a sensor. Measuring aberration via interference in the detected radiation beam. The radiation beam is tilted away from the optical axis of the projection system prior to entering the projection system.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: April 8, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Johannes Jacobus Matheus Baselmans
  • Publication number: 20140092373
    Abstract: An apparatus and method is presented for fabricating high quality one- or two dimensional diffractive waveplates and their arrays that exhibit high diffraction efficiency over large area and being capable of inexpensive large volume production. Employed is a generally non-holographic and aperiodic polarization converter for converting the polarization of a coherent input light beam that may be of a visible wavelength into a pattern of continuous spatial modulation at the output of said polarization converter. A photoresponsive material characterized by an anisotropy axis that may be formed or aligned according to polarization of said light beam is exposed to said polarization modulation pattern and may be coated subsequently with an anisotropic material overlayer.
    Type: Application
    Filed: October 8, 2013
    Publication date: April 3, 2014
    Applicant: U.S. Government as Represented by the Secretary of the Army
    Inventors: Nelson V. Tabirian, Sarik R. Nersisyan, Brian R. Kimball, Diane M. Steeves
  • Patent number: 8687170
    Abstract: An apparatus, a method of designing the apparatus, a tool using the apparatus and a method of using the apparatus for optimizing optical photolithography during formation of integrated circuits. The apparatus includes: an asymmetrical complementary dipole element including: first and second openings being equidistant and mirror images about a first axis, the first and second openings having essentially a same first area and a same first optical density relative to a selected wavelength of light; third and fourth openings being equidistant and mirror images about a second axis, the third and fourth openings having essentially a same second area, and a same second optical density relative to the selected wavelength of light; and wherein the first axis is perpendicular to the second axis and the first and second optical densities are different.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: April 1, 2014
    Assignee: International Business Machines Corporation
    Inventor: Azalia A. Krasnoperova
  • Patent number: 8687169
    Abstract: An optical module includes an aperture device and a support structure supporting the aperture device. The aperture device defines an aperture edge and an aperture plane. The aperture edge is adapted to define a geometry of a light beam passing the aperture device along an optical axis. The support structure is adapted to hold the aperture device in a defined manner when the aperture plane is inclined with respect to a horizontal plane. A temperature distribution prevails within the aperture device and at least one of the aperture device and the support structure is adapted to maintain at least one of a relative position of the aperture edge with respect to the optical axis and a geometry of the aperture edge substantially unaltered upon an introduction of a thermal energy into the aperture device, where the thermal energy being adapted to cause an alteration in the temperature distribution.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: April 1, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hermann Bieg, Uy-Liem Nguyen
  • Publication number: 20140085619
    Abstract: A lithographic apparatus for patterning a beam of radiation and projecting it onto a substrate, comprising at least two spectral purity filters configured to reduce the intensity of radiation in the beam of radiation in at least one undesirable range of radiation wavelength, wherein the two spectral purity filters are provided with different radiation filtering structures from each other.
    Type: Application
    Filed: December 21, 2011
    Publication date: March 27, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Wilhelmus Petrus De Boeij, Antonius Johannes Josephus Van Dijsseldonk, Erik Roelof Loopstra, Johannes Hubertus Josephina Moors, Jan Bernard Plechelmus Van Schoot, Gerardus Hubertus Petrus Maria Swinkels, Andrei Mikhailovich Yakunin
  • Publication number: 20140078486
    Abstract: A grazing incidence reflector (300) for EUV radiation includes a first mirror layer (310) and a multilayer mirror structure (320) beneath the first mirror layer. The first mirror layer reflects at least partially EUV radiation incident on the reflector with grazing incidence angles in a first range, and the first mirror layer transmits EUV radiation in a second range of incidence angles, which overlaps and extends beyond the first range of incidence angles. The multilayer mirror structure reflects EUV radiation that is incident on the reflector with grazing incidence angles in a second range that penetrates through the first mirror layer. A grazing incidence reflector can be used in a lithographic apparatus and in manufacturing a device by a lithographic process.
    Type: Application
    Filed: January 18, 2012
    Publication date: March 20, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Andrei Mikhailovich Yakunin, Vadim Yevgenyevich Banine, Olav Waldemar Vladimir Frijns
  • Publication number: 20140078487
    Abstract: The invention relates to a projection exposure apparatus for microlithography comprising an optical element actuatable by a first and a second actuator. The actuators are controlled via control intervals in such a way that a minimum deflectability predefined in accordance with a preselectable parameter ? is guaranteed at every point in time of the control.
    Type: Application
    Filed: November 20, 2013
    Publication date: March 20, 2014
    Inventors: Karl-Eugen Aubele, Sven Ulmer, Klaus Rief, Marco Jassmann
  • Patent number: 8675176
    Abstract: A lithographic apparatus includes an illuminator configured to provide a projection beam of radiation and a polarization controller configured to control an intensity of a preferred state of polarization of the projection beam. The lithographic apparatus further includes a support configured to hold a patterning device. The patterning device configured to pattern the projection beam according to a desired pattern. The apparatus also includes a substrate table configured to hold a substrate, and a projection system configured to project the patterned beam onto a target portion of the substrate to form a patterned image on the substrate.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: March 18, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Marinus Johanes Maria Van Dam, Wilhelmus Petrus De Boeij, Johannes Wilhelmus Wilhelmus De Klerk
  • Patent number: 8675178
    Abstract: A microlithographic projection exposure apparatus and method are provided. In some embodiments, a microlithographic projection exposure apparatus includes a light source to generate pulsed light, an illumination device, a projection objective, and at least one photoelastic modulator between the pulsed light source and the illumination device. The illumination device is configured to illuminate an object plane of the projection objective. The projection object projects an image of an object in the object plane of the projection objective to the image plane of the projection objective.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: March 18, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Markus Mengel
  • Patent number: 8675177
    Abstract: An exposure method and apparatus simultaneously transfer patterns with various pitches with high resolution. On the pupil surface of an illumination system, at least first and second pairs of areas are set. The distribution of intensity of light over the pupil surface is set so that the intensities of light of the second pair of areas is smaller than that of the first pair of areas.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: March 18, 2014
    Assignee: Nikon Corporation
    Inventors: Takehito Kudo, Shigeru Hirukawa
  • Publication number: 20140071420
    Abstract: A lithographic projection apparatus is disclosed for use with an immersion liquid positioned between the projection system and a substrate. Several methods and mechanism are disclosed to protect components of the projection system, substrate table and a liquid confinement system. These include providing a protective coating on a final element of the projection system as well as providing one or more sacrificial bodies upstream of the components. A two component final optical element of CaF2 is also disclosed.
    Type: Application
    Filed: May 28, 2013
    Publication date: March 13, 2014
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Erik Roelof Loopstra, Johannes Jacobus Matheus Baselmans, Marcel Mathijs Theodore Marie Dierichs, Johannes Christiaan Maria Jasper, Matthew Lipson, Hendricus Johannes Maria Meijer, Uwe Mickan, Johannes Catharinus Hubertus Mulkens, Tammo Uitterdijk
  • Publication number: 20140071421
    Abstract: In an embodiment, a lithographic apparatus is disclosed that includes a modulator configured to expose an exposure area of the substrate to a plurality of beams modulated according to a desired pattern and a projection system configured to project the modulated beams onto the substrate. The modulator includes a deflector to displace the plurality of beams with respect to an exposure area.
    Type: Application
    Filed: March 7, 2012
    Publication date: March 13, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Pieter Willem Herman De Jager, Vadim Yevgenyevich Banine, Johannes Onvlee, Lucas Henricus Johannes Stevens, Sander Frederik Wuister, Nikolay Nikolaevich Iosad
  • Patent number: 8665420
    Abstract: A spectral purity filter is configured to reflect extreme ultraviolet radiation. The spectral purity filter includes a substrate, and an anti-reflective coating on a top surface of the substrate. The anti-reflective coating is configured to transmit infrared radiation. The filter also includes a multi-layer stack configured to reflect extreme ultraviolet radiation and to substantially transmit infrared radiation.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: March 4, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Wouter Anthon Soer
  • Publication number: 20140055861
    Abstract: Embodiments of the present invention provide a prism film and a method and an apparatus for manufacturing the prism film. The prism film comprises a substrate which is provided with prism protrusions formed of photoresist; wherein at least two prism protrusions have different heights. The embodiments of the present invention adopt the laser interference lithography apparatus for forming the prism film, such that the prism film has adjustable prism period and modulation depths. Moreover, the depth of modulation is distributed randomly on the surface of the manufactured prism film, and a prism direction and a prism period can be adjusted conveniently.
    Type: Application
    Filed: August 21, 2013
    Publication date: February 27, 2014
    Applicant: Beijing BOE Optoelectronics Technology Co., Ltd.
    Inventors: Rui Li, Junguo Liu
  • Patent number: 8654313
    Abstract: According to one embodiment, on a substrate, a resist layer is laminated on an upper side of a pattern formation layer on which a desired pattern is formed. A diffraction pattern that diffracts exposure light irradiated on the substrate is formed further on the upper side than the resist layer. Overall exposure is performed from above the diffraction pattern using a deformed light having illumination light source shape determined according to the desired pattern. Diffracted light diffracted on the diffraction pattern by the exposure is irradiated on the resist layer.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: February 18, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masanori Takahashi, Takashi Sato, Satoshi Tanaka, Soichi Inoue, Takamasa Takaki
  • Publication number: 20140043595
    Abstract: A collector system for extreme ultraviolet (EUV) radiation includes a collector mirror and a radiation-collection enhancement device (RCED) arranged adjacent an aperture member of an illuminator. The collector mirror directs EUV radiation from an EUV radiation source towards the aperture member. The RCED redirects a portion of the EUV radiation that would not otherwise pass through the aperture of the aperture member or that would not have an optimum angular distribution, to pass through the aperture and to have an improved angular distribution better suited to input specifications of an illuminator. This provides the illuminator with greater amount of useable EUV radiation than would otherwise be available from the collector mirror alone, thereby enhancing the performing of an EUV lithography system that uses such a collector system with a RCED.
    Type: Application
    Filed: October 17, 2013
    Publication date: February 13, 2014
    Applicant: Media Lario, S.R.L.
    Inventors: Natale M. Ceglio, Gopal Vasudevan
  • Publication number: 20140043596
    Abstract: An arrangement actuates an element in a microlithographic projection exposure apparatus. The arrangement includes first and second actuators and first and second mechanical couplings. The first and second actuators are coupled to the element via corresponding ones of the first and second mechanical couplings for applying respective forces to the element which is regulatable in at least one degree of freedom. The first and second actuators have first and second actuator masses, respectively, and the first actuator mass and the first mechanical coupling conjointly define a first mass-spring system operating as a first low-pass filter. The second actuator mass and the second mechanical coupling conjointly define a second mass-spring system operating as a second low-pass filter. The first and second mass-spring systems have first and second natural frequencies deviating from each other by a maximum deviation equal to 10% of the largest of the first and second natural frequencies.
    Type: Application
    Filed: October 21, 2013
    Publication date: February 13, 2014
    Applicants: ASML Netherlands B.V., Carl Zeiss SMT GmbH
    Inventors: Juergen Fischer, Ulrich Schoenhoff, Bernhard Geuppert, Hans Butler, Robertus Johannes Marinus De Jongh
  • Publication number: 20140036247
    Abstract: An illumination optical unit for an EUV projection exposure apparatus has a diaphragm comprising a radiation-transmissive region having a discrete symmetry group. The form of the diaphragm is adapted to the form of the facets of a pupil facet mirror or to the form of the radiation source. The diaphragm is preferably arranged in the region of an intermediate focal plane.
    Type: Application
    Filed: October 10, 2013
    Publication date: February 6, 2014
    Inventor: Martin Endres
  • Patent number: 8643825
    Abstract: The disclosure relates to microlithography systems, such as EUV micro-lithography illumination systems, as well as related components, systems and methods.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: February 4, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Berndt Warm, Guenther Dengel
  • Publication number: 20140028991
    Abstract: An exposure controller according to the present disclosure is to be arranged on an optical path, and includes first and second light quantity regulators, each of which has a first region that has a property of transmitting a light beam polarized in a particular direction and a second region that does not have the property of transmitting a light beam polarized in the particular direction. The exposure controller regulates the quantity of light by rotating the first and second light quantity regulators.
    Type: Application
    Filed: December 5, 2012
    Publication date: January 30, 2014
    Applicant: Panasonic Corporation
    Inventors: Shunsuke Yasugi, Kozo Ezawa
  • Publication number: 20140028990
    Abstract: There is disclosed a polarization-modulating element for modulating a polarization state of incident light into a predetermined polarization state, the polarization-modulating element being made of an optical material with optical activity and having a circumferentially varying thickness profile.
    Type: Application
    Filed: October 8, 2013
    Publication date: January 30, 2014
    Applicant: NIKON CORPORATION
    Inventors: Osamu TANITSU, Koji SHIGEMATSU, Hiroyuki HIROTA, Tomoyuki MATSUYAMA
  • Patent number: 8638420
    Abstract: An optical integrator has a plurality of wavefront dividing elements two-dimensionally arrayed, and is so configured that a ray group obliquely incident to an optical-axis center of an entrance face of each wavefront dividing element is emitted in parallel with the optical axis from the wavefront dividing element. In each of a required number of wavefront dividing elements out of the plurality of wavefront dividing elements, at least one curved optical face of the wavefront dividing element is formed as inclined around an axis along a predetermined direction passing an optical-axis center of an entrance face of the wavefront dividing element and being perpendicular to the optical axis AXe.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: January 28, 2014
    Assignee: Nikon Corporation
    Inventor: Naonori Kita
  • Patent number: 8634063
    Abstract: A printed wafer. A design is printed within a peripheral portion of the wafer. The peripheral portion of the wafer is between an outer boundary of an active portion of the wafer and an outer boundary of the wafer. The design may be a copy of a portion of a pattern that exists on a reticle of an exposure apparatus. The pattern may includes pattern elements such that adjacent pattern elements are separated by a spacing of about a sum of a first design tolerance (based on how accurately a reticle blind can be positioned within the exposure apparatus) and a second design tolerance (based on how sharply an edge of the reticle blind can be focused on the wafer by a lens). The design may visible to a naked eye unaided with no portion of the printed design within the active portion of the wafer.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Robert T. Froebel, Grant N. Pealer, III, Paul D. Sonntag
  • Patent number: 8634064
    Abstract: A lithography system can include a radiation source, an illumination system, a patterning device, and a projection system. The illumination system can be configured to process a beam of radiation to produce a plurality of beams of radiation. The illumination system can include a pupil defining element, a condenser lens, a field defining element, a first relay that includes first and second lens arrays, a plurality of rods, a diaphragm having transmission areas, and a second relay. The patterning device can be configured to pattern the plurality of beams of radiation. Further, the projection system can be configured to project the patterned beams onto a substrate.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: January 21, 2014
    Assignee: ASML Holding N.V.
    Inventor: Stanislav Y. Smirnov
  • Publication number: 20140016109
    Abstract: The invention relates to an arrangement for actuating an element in an optical system of a projection exposure apparatus, wherein the projection exposure apparatus has a carrying frame, comprising at least one actuator for exerting controllable forces on the element, wherein the actuator has a first actuator part, which is coupled to the carrying frame via at least one mechanical filter, and a second actuator part, which is mechanically coupled directly to the carrying frame, and wherein the loading on the first actuator part is at least partly relieved by the second actuator part when forces are exerted on the element.
    Type: Application
    Filed: September 10, 2013
    Publication date: January 16, 2014
    Inventors: Markus Hauf, Ulrich Schoenhoff
  • Patent number: 8629974
    Abstract: The present invention relates to an optical component for a maskless exposure apparatus, and more particularly, to a micro-prism array or a micro-mirror array which is an optical component capable of screening diffused light such that the image of a pixel of a digital micro-mirror display (DMD) formed by a first image-forming lens in the maskless exposure apparatus has no influence on the image of a neighboring pixel and of totally reflecting the light after reflection or diffraction at the same time, thus improving exposure performance by using the quantity of light being transmitted without a loss and increasing numerical apertures (NAs) at the same time.
    Type: Grant
    Filed: May 20, 2009
    Date of Patent: January 14, 2014
    Inventor: Jin Ho Jung
  • Patent number: 8629973
    Abstract: A lithographic apparatus including a uniformity correction system is disclosed. Fingers move into and out of intersection with a radiation beam to correct an intensity of the radiation beam. Actuating devices are coupled to the fingers. A width of a tip of each of the fingers is half that of a width of the actuating devices. Systems and methods compensate for uniformity drift. An illumination slit uniformity caused by system drift is measured. First positions of uniformity compensators are determined based on the uniformity. Uniformity compensators are moved to the first respective positions. A substrate is exposed.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: January 14, 2014
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Richard Carl Zimmerman, Hendrikus Robertus Marie Van Greevenbroek, Peter C. Kochersperger, Todd R. Downey, Elizabeth Stone, Szilard Istvan Csiszar, Frederick Kubick, Olga Vladimirsky
  • Patent number: 8619235
    Abstract: A level sensor configured to measure a height level of a substrate arranged in a measurement position is disclosed. The level sensor comprises a projection unit to project multiple measurement beams on multiple measurement locations on the substrate, a detection unit to receive the measurement beams after reflection on the substrate, and a processing unit to calculate a height level on the basis of the reflected measurement beams received by the detection unit, wherein the projection unit and the detection unit are arranged next to the substrate, when the substrate is arranged in the measurement position.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: December 31, 2013
    Assignee: ASML Netherlands B.V.
    Inventor: William Peter Van Drent
  • Publication number: 20130342821
    Abstract: The disclosure generally relates to imaging optical systems that include a plurality of mirrors, which image an object field lying in an object plane in an image field lying in an image plane, where at least one of the mirrors has a through-hole for imaging light to pass through. The disclosure also generally relates to projection exposure installations that include such imaging optical systems, methods of using such projection exposure installations, and components made by such methods.
    Type: Application
    Filed: August 29, 2013
    Publication date: December 26, 2013
    Applicant: Carl Zeiss SMT GmbH
    Inventor: Hans-Juergen Mann
  • Publication number: 20130344445
    Abstract: An apparatus and a method for printing a desired pattern into a photosensitive layer. A mask bears a pattern of linear mask features parallel to a first direction. The layer is arranged parallel to and separated from the mask. Substantially monochromatic light is generated and the mask pattern is illuminated with the light over a range of angles of incidence in a plane parallel to the first direction, at substantially a single angle in an orthogonal plane of incidence and so that the light of each angle of incidence transmitted by the mask forms a light-field component at the layer. The integration of the components prints the desired pattern. The range of angles is selected so that the integration of the components is substantially equivalent to an average of the range of transversal intensity distributions formed between Talbot image planes by light at one of the angles of incidence.
    Type: Application
    Filed: July 30, 2013
    Publication date: December 26, 2013
    Applicant: EULITHA A.G.
    Inventors: FRANCIS S.M. CLUBE, CHRISTIAN DAIS, HARUN H. SOLAK
  • Patent number: 8605257
    Abstract: In a projection objective for imaging a pattern arranged in the object plane of the projection objective into the image plane of the projection objective, at least one optical component is provided which has a substrate in which at least one substrate surface is covered with an interference layer system having a great spatial modulation of the reflectance and/or of the transmittance over a usable cross section of the optical component, the modulation being adapted to a spatial transmission distribution of the remaining components of the projection objective in such a way that an intensity distribution of the radiation that is measured in a pupil surface has a substantially reduced spatial modulation in comparison with a projection objective without the interference layer system.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: December 10, 2013
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Alexandra Pazidis, Reiner Garreis, Michael Totzeck, Heiko Feldmann, Paul Graeupner, Hans-Juergen Rostalski, Wolfgang Singer, Guenter Scheible, Sigrid Scheible
  • Patent number: 8598552
    Abstract: Energy output from a laser-produced plasma (LPP) extreme ultraviolet light (EUV) system varies based on how well the laser beam can maintain focus on a target material to generate the plasma that gives off light. The system and method described herein optimize EUV light generation by using a closed-loop gradient process to track and fine-tune in real-time the positioning of optical elements that determine how the laser beam is focused on the target material. When real-time alignment of the drive laser on droplet position is achieved, EUV generation is optimized.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: December 3, 2013
    Assignee: Cymer, Inc.
    Inventors: Paul Frihauf, Daniel J. Riggs, Matthew R. Graham, Steven Chang, Wayne J. Dunstan
  • Publication number: 20130314683
    Abstract: A method of driving a spatial light modulator includes: setting, in an array of mirror elements, mirror elements in a first state for turning incident light into reflected light with the same phase as that of the incident light or with a phase different by a first phase from that of the incident light and mirror elements in a second state for turning incident light into reflected light with a phase different approximately 180° from the first phase to an arrangement with a first phase distribution; and setting, in the array of mirror elements, the first mirror elements and the second mirror elements to an arrangement with a second phase distribution which is an inversion of the first phase distribution.
    Type: Application
    Filed: September 22, 2011
    Publication date: November 28, 2013
    Inventors: Yoji Watanabe, Soichi Owa, Tomoharu Fujiwara
  • Patent number: 8593618
    Abstract: The disclosure relates to an optical system of a microlithographic projection exposure apparatus and to a microlithographic exposure method. An optical system of a microlithographic projection exposure apparatus includes an image rotator, which is arranged in the optical system such that light impinging on the image rotator is at least partially polarized. The image rotator rotates, for light impinging on the image rotator, both the intensity distribution and the polarization distribution of through a given angle of rotation.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: November 26, 2013
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Michael Totzeck
  • Publication number: 20130308109
    Abstract: An exposure apparatus can mitigate the impact of fluctuations in the refractive index of ambient gas, and improve, for example, stage positioning accuracy. An exposure apparatus radiates an exposure illumination light to a wafer on a wafer stage through a projection optical system, and forms a prescribed pattern on the wafer, and comprises: a scale, which is provided to the wafer stage; a plurality of X heads, which detect information related to the position of the scale; a measurement frame that integrally supports the plurality of X heads and has a coefficient of linear thermal expansion that is smaller than that of the main body of the wafer stage (portions excepting a plate wherein the scale is formed); and a control apparatus that derives information related to the displacement of the wafer stage based on the detection results of the plurality of X heads.
    Type: Application
    Filed: July 24, 2013
    Publication date: November 21, 2013
    Applicant: NIKON CORPORATION
    Inventor: Dai ARAI
  • Publication number: 20130308114
    Abstract: An exposure method and apparatus for illuminating a pattern with an illumination system to expose a substrate through a projection system. The pattern is illuminated with illumination light with a light amount distribution in which an amount of light is larger in a pair of first areas and a pair of second areas than in an area other than the first and second areas on a pupil plane of the illumination system. The pair of the first areas being arranged outside an optical axis, the pair of the second areas being arranged on ten same straight line as the pair of the first areas are arranged on, and the pair of the second areas being arranged outside the pair of the first areas.
    Type: Application
    Filed: May 9, 2013
    Publication date: November 21, 2013
    Applicant: NIKON CORPORATION
    Inventors: Takehito KUDO, Shigeru HIRUKAWA
  • Publication number: 20130308115
    Abstract: An illumination system of a microlithographic projection exposure apparatus comprises a light source which is configured to produce projection light (PL), a pupil plane and a diffractive optical element that is arranged between the light source and the pupil plane such that an irradiance distribution of projection light (PL) in the pupil plane depends on the position of a field that is illuminated by the projection light (PL) on the diffractive optical element. The illumination system further comprises an optical imaging system-that is arranged between the light source and the diffractive optical element. The optical imaging system ensures that changes of the direction and divergence of the projection light (PL) emitted by the light source have no substantial effect on the position and size of the field which is illuminated on the diffractive optical element by the projection light (PL).
    Type: Application
    Filed: July 26, 2013
    Publication date: November 21, 2013
    Inventors: Michael Patra, Markus Deguenther
  • Publication number: 20130308113
    Abstract: An exposure method and apparatus for illuminating a pattern with an illumination system to expose a substrate through a projection system. The pattern is illuminated with illumination light with a light amount distribution in which an amount of light is larger in a pair of first areas and a pair of second areas than in an area other than the first and second areas on a pupil plane of the illumination system. The pair of the first areas being arranged outside an optical axis, the pair of the second areas being arranged on ten same straight line as the pair of the first areas are arranged on, and the pair of the second areas being arranged outside the pair of the first areas.
    Type: Application
    Filed: May 8, 2013
    Publication date: November 21, 2013
    Applicant: NIKON CORPORATION
    Inventors: Takehito KUDO, Shigeru HIRUKAWA
  • Patent number: 8587767
    Abstract: Illumination optics for EUV microlithography guide an illumination light bundle from a radiation source to an object field with an extension ratio between a longer field dimension and a shorter field dimension, where the ratio is considerably greater than 1.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: November 19, 2013
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Damian Fiolka, Berndt Warm, Christian Steigerwald, Martin Endres, Ralf Stuetzle, Jens Ossmann, Ralf Scharnweber, Markus Hauf, Udo Dinger, Severin Waldis, Marc Kirch, Joachim Hartjes
  • Patent number: 8587766
    Abstract: A lithographic projection system has an illumination system with a polarization member. A plurality of directing elements reflect different sub-beams of an incident beam into adjustable, individually controllable directions. By means of re-directing optics any desired polarized spatial intensity distribution of the beam can be produced in its cross-sectional plane.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: November 19, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Heine Melle Mulder, Markus Franciscus Antonius Eurlings
  • Patent number: 8587768
    Abstract: A collector system for extreme ultraviolet (EUV) radiation includes a collector mirror and a radiation-collection enhancement device (RCED) arranged adjacent an aperture member of an illuminator. The collector mirror directs EUV radiation from an EUV radiation source towards the aperture member. The RCED redirects a portion of the EUV radiation that would not otherwise pass through the aperture of the aperture member or that would not have an optimum angular distribution, to pass through the aperture and to have an improved angular distribution better suited to input specifications of an illuminator. This provides the illuminator with greater amount of useable EUV radiation than would otherwise be available from the collector mirror alone, thereby enhancing the performing of an EUV lithography system that uses such a collector system with a RCED.
    Type: Grant
    Filed: March 11, 2011
    Date of Patent: November 19, 2013
    Assignee: Media Lario S.R.L.
    Inventors: Natale M. Ceglio, Gopal Vasudevan
  • Patent number: 8587764
    Abstract: An optical integrator system comprises a first optical integrator including a plurality of first wavefront dividing elements two-dimensionally juxtaposed, and a second optical integrator including a plurality of second wavefront dividing elements two-dimensionally juxtaposed. Each of the first wavefront dividing elements is so constructed that rays obliquely incident to a center on an optical axis of an entrance surface are emitted in parallel with the optical axis. Each of the second wavefront dividing elements is also so constructed that rays obliquely incident to a center on an optical axis of an entrance surface are emitted in parallel with the optical axis. The system satisfies the condition of P2/(2Ă—tan ?)<L12.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: November 19, 2013
    Assignee: Nikon Corporation
    Inventor: Naonori Kita
  • Publication number: 20130301026
    Abstract: A mask and an optical filter manufacturing apparatus including the same are provided. A mask used for a roll-to-roll process of forming patterns in a base film configured to move along a curved surface includes a mask body having a curved surface disposed opposite a roll around which the base film is wound and a plane surface corresponding to the reverse side of the curved surface. The curved surface of the mask body is disposed a predetermined distance apart from a curved surface of the roll. The mask and optical filter manufacturing apparatus enable formation of uniform patterns on the base film to enhance the quality of products and precisely attain the properties of the base film.
    Type: Application
    Filed: July 19, 2013
    Publication date: November 14, 2013
    Applicant: LG CHEM, LTD.
    Inventors: Bu Gon SHIN, Sin Young KIM, Jae Jin KIM, Da Mi LEE
  • Publication number: 20130301025
    Abstract: An apparatus and method are used to form patterns on a substrate. The apparatus comprises a projection system, a patterning device, a low-pass filter, and a data manipulation device. The projection system projects a beam of radiation onto the substrate as an array of sub-beams. The patterning device modulates the sub-beams to substantially produce a requested dose pattern on the substrate. The low-pass filter operates on pattern data derived from the requested dose pattern in order to form a frequency-clipped target dose pattern that comprises only spatial frequency components below a selected threshold frequency. The data manipulation device produces a control signal comprising spot exposure intensities to be produced by the patterning device, based on a direct algebraic least-squares fit of the spot exposure intensities to the frequency-clipped target dose pattern. In various examples, filters can also be used.
    Type: Application
    Filed: July 12, 2013
    Publication date: November 14, 2013
    Inventors: Patricius Aloysius Jacobus TINNEMANS, Johannes Jacobus Matheus BASELMANS
  • Publication number: 20130293862
    Abstract: A microlithography optical system includes a projection objective and an illumination system that includes a temperature compensated polarization-modulating optical element. The temperature compensated polarization-modulating optical element includes a first polarization-modulating optical element of optically active material, the first polarization-modulating optical element having a first specific rotation with a sign. The temperature compensated polarization-modulating optical element includes also includes a second polarization-modulating optical element of optically active material, the second polarization-modulating optical element having a second specific rotation with a sign opposite to the sign of the first specific rotation.
    Type: Application
    Filed: July 2, 2013
    Publication date: November 7, 2013
    Inventors: Damian Fiolka, Markus Deguenther
  • Publication number: 20130293861
    Abstract: An illumination system of a microlithographic projection exposure apparatus comprises an optical integrator having a plurality of light entrance facets and a beam deflection array of reflective or transparent beam deflecting elements. Each beam deflecting element is configured to illuminate a spot on the optical integrator at a position that is variable by changing a deflection angle produced by the beam deflecting element. The illumination system further comprises a control unit which is configured to control the beam deflection elements in such a manner that a light pattern assembled from the spots on at least one of the light entrance facets is varied in response to an input command that a field dependency of the angular irradiance distribution in a mask plane shall be modified.
    Type: Application
    Filed: July 1, 2013
    Publication date: November 7, 2013
    Inventor: Markus Deguenther
  • Patent number: 8576373
    Abstract: A lithographic apparatus is provided with a sensor. The sensor comprises a frame that defines a space that is crossed multiple times by wire. Detection electronics are connected to the wire and configured to detect a change of temperature of the wire due to infrared radiation being incident upon the wire. The detection electronics are further configured to provide an output signal in the event that a change of temperature of the wire is detected.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: November 5, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Hako Botma, Johannes Aldegonda Theodorus Marie Van Den Homberg