Including Shutter, Diaphragm, Polarizer Or Filter Patents (Class 355/71)
  • Patent number: 8867021
    Abstract: An illumination system is disclosed that had a plurality of moveable reflective elements and associated actuators which may be configured to form an illumination mode. One or more of the actuators is arranged to move between first, second and third positions, and so move an associated moveable reflective element between first, second and third orientations, the first and second orientations being such that radiation reflected from the moveable reflective element forms part of the illumination mode, and the third orientation being such that radiation reflected from the moveable reflective element does not form part of the illumination mode.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: October 21, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Gosse Charles De Vries, Edwin Johan Buis, Marinus Johannes Maria Van Dam, Jan Bernard Plechelmus Van Schoot, Fidelus Adrianus Boon, Hermanus Johannes Maria Kreuwel
  • Publication number: 20140307245
    Abstract: An illumination optical system can form a pupil intensity distribution with a desired beam profile. The illumination optical system for illuminating an illumination target surface with light from a light source is provided with a spatial light modulator which has a plurality of optical elements arrayed on a predetermined surface and individually controlled and which variably forms a light intensity distribution on an illumination pupil of the illumination optical system; a divergence angle providing member which is arranged in a conjugate space including a surface optically conjugate with the predetermined surface and which provides a divergence angle to an incident beam and emits the beam; and a polarizing member which is arranged at a position in the vicinity of the predetermined surface or in the conjugate space and which changes a polarization state of a partial beam of a propagating beam propagating in an optical path.
    Type: Application
    Filed: April 24, 2014
    Publication date: October 16, 2014
    Inventors: Hirohisa Tanaka, Hiroshi Ooki, Shinichi Nakajima
  • Patent number: 8861084
    Abstract: A polarization-modulating optical element consisting of an optically active crystal material has a thickness profile where the thickness, as measured in the direction of the optical axis, varies over the area of the optical element. The polarization-modulating optical element has the effect that the plane of oscillation of a first linearly polarized light ray and the plane of oscillation of a second line early polarized light ray are rotated, respectively, by a first angle of rotation and a second angle of rotation, with the first angle of rotation and the second angle of rotation being different from each other.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: October 14, 2014
    Assignee: Carl Zeiss SMT AG
    Inventors: Damian Fiolka, Markus Deguenther
  • Publication number: 20140300882
    Abstract: The invention relates to arrangements for actuating an element in a microlithographic projection exposure apparatus. In accordance with one aspect, an arrangement for actuating an element in a microlithographic projection exposure apparatus comprises a first number (nR) of degrees of freedom, wherein an adjustable force can be transmitted to the optical element in each of the-degrees of freedom, and a second number (nA) of actuators, which are coupled to the optical element in each case via a mechanical coupling for the purpose of transmitting force to the optical element, wherein the second number (nA) is greater than the first number (nR). In accordance with one aspect, at least one of the actuators is arranged in a node of at least one natural vibration mode of the optical element.
    Type: Application
    Filed: June 23, 2014
    Publication date: October 9, 2014
    Inventors: Sascha Bleidistel, Ulrich Schoenhoff, Juergen Fischer
  • Publication number: 20140300881
    Abstract: A digital exposure device including a GLV or a DMD. The digital exposure device also includes: a stage to support and move a substrate in a scan direction; an optical system disposed between the stage and the GLV or the DMD, to form a pattern on the substrate by modulating light received from the GLV or the DMD; and a control unit to control the a width of the pattern by a unit, the unit being obtained by dividing the width of the pattern by a natural number m, in the second direction.
    Type: Application
    Filed: September 4, 2013
    Publication date: October 9, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventors: ChangHoon KIM, JaeHyuk CHANG, Su-Yeon SIM, KiBeom LEE, HiKuk LEE, Sanghyun LEE
  • Patent number: 8854605
    Abstract: The present invention provides an illumination optical system which illuminates an illumination target surface with a light beam from a light source, the system including a plurality of adjustment units each having one of a reflectance distribution and a transmittance distribution to adjust an incident angle distribution of the light beam which impinges on the illumination target surface, the plurality of adjustment units including an adjustment unit which adjusts differences between light amounts, in a first direction, of incident angle distributions of light beams at a plurality of points on the illumination target surface, and light amounts, in a second direction, thereof, and an adjustment unit which adjusts at least one of light amount differences, in the first direction, of the incident angle distributions of the light beams at the plurality of points on the illumination target surface, and light amount differences, in the second direction, thereof.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: October 7, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ken Minoda
  • Patent number: 8854606
    Abstract: The invention relates to a projection exposure system, in particular for micro-lithography. The projection exposure system according to the invention comprises a light source for producing light in the EUV region. The projection exposure system further comprises a first optical system for illuminating a mask by the light of the light source and a second optical system for imaging the mask on a component. At least one polarization-optical element is disposed on the beam path between the light source and the component.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: October 7, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Hans-Jürgen Mann, Wolfgang Singer, Toralf Gruner, Olaf Dittmann, Michael Totzeck
  • Publication number: 20140293256
    Abstract: Microlithography projection objectives for imaging into an image plane a pattern arranged in an object plane are described with respect to suppressing false light in such projection objectives.
    Type: Application
    Filed: June 10, 2014
    Publication date: October 2, 2014
    Inventors: Heiko Feldmann, Daniel Kraehmer, Jean-Claude Perrin, Julian Kaller, Aurelian Dodoc, Vladimir Kamenov, Olaf Conradi, Toralf Gruner, Thomas Okon, Alexander Epple
  • Patent number: 8847223
    Abstract: A method of forming a photosensitive pattern on a substrate with a photosensitive layer disposed thereon may include moving at least one of the substrate and a set of micro-mirrors in a first direction, the set of micro-mirrors being disposed above the substrate and being arranged as an array, the array having a first edge extending in a second direction, the second direction being at an acute angle with respect to the first direction. The method may also include selectively turning on one or more micro-mirrors of the set of micro-mirrors according to a position of the set of micro-mirrors relative to the photosensitive layer, thereby irradiating one or more spot beams on the photosensitive layer. The photosensitive layer exposed by the spot beams is developed to form a photosensitive pattern having an edge portion extending in a third direction crossing the first and second directions.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: September 30, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jung-In Park, Su-Yeon Sim, Sang-Hyun Yun, Cha-Dong Kim, Hi-Kuk Lee
  • Publication number: 20140285787
    Abstract: According to one embodiment, an exposure system includes: a supporting stage; a plurality of masks provided on an upper side of the supporting stage; and a light source being capable of irradiating a substrate with light through the plurality of masks, the plurality of masks including: a first mask, and a light shielding film being patterned in the first mask; and a second mask provided on an upper side or a lower side of the first mask, the second mask including a second region facing a first region of the first mask, the light shielding film not being present in the first region, and a light shielding film not being patterned in the second region or the light shielding film being patterned in at least a part of the second region, and a plurality of laser-irradiated marks being provided in at least the second region of the second mask.
    Type: Application
    Filed: August 29, 2013
    Publication date: September 25, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Eiji YONEDA, Nobuhiro Komine, Satomi Higashibata, Kazutaka Ishigo, Yosuke Okamoto
  • Publication number: 20140285788
    Abstract: The invention relates to an optical system for a microlithographic projection exposure apparatus, and to a microlithographic exposure method. An optical system for a microlithographic projection exposure apparatus comprises a polarization-influencing optical arrangement, wherein the polarization-influencing optical arrangement comprises at least one first array of first polarization-influencing elements and a second array of second polarization-influencing elements, wherein the first and second arrays are arranged successively in the light propogation direction, wherein the first and second polarization-influencing elements in each case have a birefringence that is dependent on the presence of an electric field, and wherein the first polarization-influencing elements and the second polarization-influencing elements are transverse Pockels cells.
    Type: Application
    Filed: June 5, 2014
    Publication date: September 25, 2014
    Inventors: Ingo Saenger, Frank Schlesener
  • Publication number: 20140285789
    Abstract: A lithography process in a lithography system includes loading a mask that includes two mask states defining an integrated circuit (IC) pattern. The IC pattern includes a plurality of main polygons, wherein adjacent main polygons are assigned to different mask states; and a background includes a field in one of the mask states and a plurality of sub-resolution polygons in another of the two mask states. The lithography process further includes configuring an illuminator to generate an illuminating pattern on an illumination pupil plane of the lithography system; configuring a pupil filter on a projection pupil plane of the lithography system with a filtering pattern determined according to the illumination pattern; and performing an exposure process to a target with the illuminator, the mask, and the pupil filter. The exposure process produces diffracted light and non-diffracted light behind the mask and the pupil filter removes most of the non-diffracted light.
    Type: Application
    Filed: June 6, 2014
    Publication date: September 25, 2014
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 8842258
    Abstract: An exposure apparatus includes a mask, a substrate which passes through a region disposed below the mask while moving in a first direction, a light source unit disposed above the mask, where the light source irradiates light on the substrate through the mask, and at least one blind disposed below the light source unit, where the blind blocks the light irradiated from the light source unit, where a second direction is perpendicular to the first direction in a same plane as the first direction, the blind is a polyhedron having a width, a length and a thickness and is disposed such that a direction of the length is substantially parallel to the second direction, and the blind is rotatable around a rotation axis substantially parallel to the second direction, and where the width is greater than the thickness.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: September 23, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Bo-Kyoung Ahn, Hong-Suk Yoo, Chang-Hoon Kim
  • Publication number: 20140268086
    Abstract: The present disclosure is directed towards lithography processes. In one embodiment, a patterned mask is provided. An information of a position of diffraction light (PDL) on a pupil plane of a projection optics box (POB) is used to define as a light-transmitting region of a pupil filter. The patterned mask is exposed by an on-axis illumination (ONI) with partial coherence ? less than 0.3. The pupil filter is used to transmit diffraction light to a target.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: YEN-CHENG LU, SHINN-SHENG YU, JENG-HORNG CHEN, ANTHONY YEN
  • Publication number: 20140268087
    Abstract: A lithography process in a lithography system includes loading a mask having multiple mask states and having a mask pattern consisting of a plurality of polygons and a field. Different mask states are assigned to adjacent polygons and the field. The lithography process further includes configuring an illuminator to generate an illumination pattern on an illumination pupil plane of the lithography system; configuring a pupil filter on a projection pupil plane of the lithography system with a filtering pattern determined according to the illumination pattern; and performing an exposure process to a target with the illuminator, the mask, and the pupil filter. The exposure process produces diffracted light and non-diffracted light behind the mask and the pupil filter removes most of the non-diffracted light.
    Type: Application
    Filed: May 28, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shinn-Sheng Yu, Yen-Cheng Lu, Anthony Yen
  • Publication number: 20140273459
    Abstract: The present disclosure provides an interference filter, a lithography system incorporating an interference filter, and a method of fabricating an interference filter. The interference filter includes a transparent substrate having a front surface and a back surface, a plurality of alternating material layers formed over the front surface of the transparent substrate that form a bandpass filter, and an anti-reflective structure formed over the back surface of the transparent substrate. The alternating material layers alternate between a relatively high refractive index material and a relatively low refractive index material.
    Type: Application
    Filed: June 27, 2013
    Publication date: September 18, 2014
    Inventors: Wolf Hung, Chung-Nan Chen, Jaw-Lih Shih, Hong-Hsing Chou, Yeh-Chieh Wang
  • Publication number: 20140268085
    Abstract: The invention relates to an optical system for a microlithographic projection exposure apparatus, comprising an optical system axis (OA) and a polarization-influencing optical arrangement, wherein the polarization-influencing optical arrangement comprises a first polarization-influencing element, which is produced from optically uniaxial crystal material and has a first orientation of the optical crystal axis, the-first orientation being perpendicular to the optical system axis and a thickness that varies in the direction of the optical system axis, and a second polarization-influencing element, which is arranged downstream of the first polarization-influencing element in the light propagation direction, is produced from optically uniaxial crystal material and has a second orientation of the optical crystal axis, the second orientation being perpendicular to the optical system axis, and a plane-parallel geometry, wherein the second orientation is different from the first orientation.
    Type: Application
    Filed: February 13, 2014
    Publication date: September 18, 2014
    Inventors: Daniel Kraehmer, Ingo Saenger
  • Patent number: 8836917
    Abstract: A zone plate includes a plurality of consecutively arranged, adjacent, and alternating first and second regions. The first regions are arranged to be substantially transparent to a first predetermined wavelength of radiation and a second predetermined wavelength of radiation that is different from the first predetermined wavelength of radiation. The second regions are arranged to be substantially opaque, diffractive, or reflective to the first predetermined wavelength of radiation and substantially transparent to the second predetermined wavelength of radiation.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: September 16, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Anthon Soer, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Publication number: 20140253897
    Abstract: A wafer alignment system is provided for performing a unidirectional scan-exposure. The wafer alignment system includes a plurality of wafer stages successively moving from a first position to a second position of a base cyclically. The wafer alignment method also includes an encoder plate having a first opening and a second opening. Further, the wafer alignment system includes a plurality of encoder plate readers and a plurality of wafer stage fiducials on the wafer stages. Further, the wafer alignment system also includes an alignment detection unit above the first opening of the encoder plate.
    Type: Application
    Filed: September 29, 2013
    Publication date: September 11, 2014
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: CHANG LIU, QIANG WU
  • Publication number: 20140253895
    Abstract: A cylindrical reticle system is provided for performing a unidirectional scan-exposure. The cylindrical reticle system includes a base and a center shaft fixed a one side of the base. The cylindrical reticle system also includes a first bearing fixed at the end of the center shaft near to the base and a second bearing fixed at the other end of the center shaft far from the base. Further, the cylindrical reticle system includes a cylindrical reticle having an imaging region and two non-imaging regions at both end of the imaging region.
    Type: Application
    Filed: September 18, 2013
    Publication date: September 11, 2014
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: QIANG WU, YIMING GU
  • Publication number: 20140253896
    Abstract: An exposure apparatus is provided for performing an unidirectional scan-exposure. The exposure apparatus includes a base and a wafer stage group having a plurality of wafer stages on the base for holding wafers and successively moving from a first position to a second position of the base cyclically. The exposure apparatus also includes an alignment detection unit above the first position for detecting wafer stage fiducials at the first position and alignment marks on a wafer on the wafer stage to align the wafer. Further, the exposure apparatus includes a reticle stage on the second position for loading a cylindrical reticle and causing the cylindrical reticle to rotate around the center axis of the reticle stage and an optical projection unit between the reticle stage and the base for projecting light passing through the cylindrical reticle onto exposure regions on a wafer on the wafer stage.
    Type: Application
    Filed: September 27, 2013
    Publication date: September 11, 2014
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: QIANG WU, YANLEI ZU, HUAYONG HU, YIMING GU
  • Patent number: 8823922
    Abstract: An overlay measurement apparatus has a polarized light source for illuminating a sample with a polarized light beam and an optical system to capture light that is scattered by the sample. The optical system includes a polarizer for transmitting an orthogonal polarization component that is orthogonal to a polarization direction of the polarized light beam. A detector measures intensity of the orthogonal polarization component. A processing unit is connected to the detector, and is arranged to process the orthogonal polarization component for overlay metrology measurement using asymmetry data derived from the orthogonal polarization component.
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: September 2, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Arie Jeffrey Den Boef
  • Patent number: 8823921
    Abstract: A programmable illuminator for a photolithography system includes a light source, a first optical system having a light uniformizing element, a programmable micro-mirror device, and a second optical system that forms an illumination field that illuminates a reticle. The programmable micro-mirror device can be configured to perform shutter and edge-exposure-blocking functions that have previously required relatively large mechanical devices. Methods of improving illumination field uniformity using the programmable illuminator are also disclosed.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: September 2, 2014
    Assignee: Ultratech, Inc.
    Inventors: Borislav Zlatanov, Andrew M. Hawryluk
  • Patent number: 8817237
    Abstract: A method of forming a spectral purity filter having a plurality of apertures configured to transmit extreme ultraviolet radiation and suppress transmission of a second type of radiation, in which trenches are formed in a base material in a pattern corresponding to the walls to be formed between the apertures. The trenches are filled with a grid material to form walls of the grid material, and the base material is selectively removed until the grid material is exposed and forms the spaces between the walls of the grid material for the apertures.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: August 26, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Wouter Anthon Soer, Martin Jacobus Johan Jak, Ronald Dekker
  • Patent number: 8817235
    Abstract: A lithographic apparatus is disclosed that includes an illumination system configured to condition a beam of radiation, the illumination system having a Pockels cell arranged to control the polarization of the radiation beam, and an array of individually controllable reflective elements arranged to control the pupil plane distribution of the radiation beam.
    Type: Grant
    Filed: May 6, 2009
    Date of Patent: August 26, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Johannes Jacobus Matheus Baselmans
  • Publication number: 20140233008
    Abstract: An illumination optical system which illuminates an illumination objective surface with a light from a light source. The illumination optical system includes a spatial light modulator which includes a plurality of optical elements arranged within a predetermined plane and controlled individually, and which forms a light intensity distribution in an illumination pupil of the illumination optical system; and a polarization unit which is arranged in a position optically conjugate with the predetermined plane, and which polarizes an incident light beam having a first and second partial light beams, coming into the polarization unit such that the first and second partial light beams have polarization states different from each other, and emits the polarized incident light beam as an outgoing light beam, wherein the polarization unit changes, in a cross section of the outgoing light beam, a ratio between a cross sectional areas of the first and second partial light beams.
    Type: Application
    Filed: November 25, 2011
    Publication date: August 21, 2014
    Applicant: NIKON CORPORATION
    Inventors: Osamu Tanitsu, Hirohisa Tanaka, Kinya Kato, Takashi Mori
  • Patent number: 8810776
    Abstract: A mask and an optical filter manufacturing apparatus including the same are provided. A mask used for a roll-to-roll process of forming patterns in a base film configured to move along a curved surface includes a mask body having a curved surface disposed opposite a roll around which the base film is wound and a plane surface corresponding to the reverse side of the curved surface. The curved surface of the mask body is disposed a predetermined distance apart from a curved surface of the roll. The mask and optical filter manufacturing apparatus enable formation of uniform patterns on the base film to enhance the quality of products and precisely attain the properties of the base film.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: August 19, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Bu Gon Shin, Sin Young Kim, Jae Jin Kim, Da Mi Lee
  • Publication number: 20140226142
    Abstract: A mirror serves for use for guiding illumination and imaging light in EUV projection lithography. The mirror has a reflective surface, the reflective surface forming a magnetic field in such a way that at least one polarization property of the illumination and imaging light is influenced via the magnetic field upon reflection. A mirror system has, besides the mirror, additionally a magnetization predefining device for predefining a magnetization of the reflective surface of the mirror. An illumination optical unit has at least one mirror of this type or at least one facet mirror device comprising at least one individual mirror constructed in this way. In the case of a mirror of this type, the illumination and/or imaging properties of illumination and/or imaging light guided via the mirror are improved.
    Type: Application
    Filed: April 17, 2014
    Publication date: August 14, 2014
    Inventors: Ingo Saenger, Frank Schlesener
  • Publication number: 20140218710
    Abstract: A method of forming a reticle includes: loading a blank reticle; projecting an electron beam; moving a second aperture plate having a first pattern aperture and a second pattern aperture so that the first pattern aperture is directly overlapped by a first aperture of a first aperture plate, the electron beam passing through the first pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam after the electron beam passes the first pattern aperture, to form a first exposure pattern; moving the second aperture plate so that the second pattern aperture is directly overlapped by the first aperture of the first aperture plate, the electron beam passing through the second pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam after the electron beam passes the second pattern aperture, to form a second exposure pattern; and developing the blank reticle having the first and second exposure patterns to form the reticle having firs
    Type: Application
    Filed: April 9, 2014
    Publication date: August 7, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jin CHOI, Jin-Ha JEONG, Urazaev VLADIMIR, Hea-Yun LEE
  • Patent number: 8796011
    Abstract: An apparatus for fabricating a biochip is provided. The apparatus includes a reaction chamber which encapsulates the biochip to be sealed form an external environment. The apparatus further includes an exposure system which has a light source and a spatial light modulator. The spatial light modulator receives light from the light source and forms an optical image utilizing the light. The optical image is received by the biochip. The apparatus further includes a detection system which detects light proceeding form the biochip.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: August 5, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seong-ho Cho
  • Publication number: 20140211189
    Abstract: A radiation modulator for a lithography apparatus, a lithography apparatus, a method of modulating radiation for use in lithography, and a device manufacturing method is disclosed. The radiation modulator for a lithography apparatus may have a plurality of waveguides supporting propagation therethough of radiation having a wavelength less than 450 nm; and a modulating section configured to individually modulate radiation propagating in each of the waveguides in order to provide a modulated plurality of output beams.
    Type: Application
    Filed: January 27, 2014
    Publication date: July 31, 2014
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Dries SMEETS, Arno Jan Bleeker, Chris Lee, Pieter Willem Herman De Jager, Heine Melle Mulder, Rudy Jan Maria Pellens
  • Patent number: 8792081
    Abstract: An exposure method for exposing a mask pattern, which includes plural types of patterns, with a high throughput and optimal illumination conditions for each type of pattern. The method includes guiding light from a first spatial light modulator illuminated with pulse lights of illumination light to a second spatial light modulator and exposing a wafer with light from the second spatial light modulator, accompanied by: controlling a conversion state of the second spatial light modulator including a plurality of second mirror elements; and controlling a conversion state of the first spatial light modulator including a plurality of first mirror elements to control intensity distribution of the illumination light on a predetermined plane between the first spatial light modulator and the second spatial light modulator.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: July 29, 2014
    Assignee: Nikon Corporation
    Inventor: Soichi Owa
  • Patent number: 8792082
    Abstract: An illumination system for a microlithography projection exposure apparatus for illuminating an illumination field with the light from an assigned light source includes a pupil shaping unit for receiving light from the assigned light source and for generating a predeterminable basic light distribution in a pupil plane of the illumination system, and a transmission filter assigned to the pupil shaping unit and having at least one array of individually drivable individual elements for the spatially resolving transmission filtering of the light impinging on the transmission filter in or in proximity to a pupil plane of the illumination system. The transmission filter generates a predetermined correction of the basic light distribution. An illumination system of this type can generate a multiplicity of location-dependent intensity distributions in a pupil plane of the illumination system, and ensure a high transmittance.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: July 29, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Markus Brotsack
  • Publication number: 20140204356
    Abstract: The invention relates to arrangements for actuating an element in a microlithographic projection exposure apparatus. In accordance with one aspect, an arrangement for actuating an element in a microlithographic projection exposure apparatus comprises a first number (nR) of degrees of freedom, wherein an adjustable force can be transmitted to the optical element in each of the degrees of freedom, and a second number (nA) of actuators, which are coupled to the optical element in each case via a mechanical coupling for the purpose of transmitting force to the optical element, wherein the second number (nA) is greater than the first number (nR). In accordance with one aspect, at least one of the actuators is arranged in a node of at least one natural vibration mode of the optical element.
    Type: Application
    Filed: January 17, 2014
    Publication date: July 24, 2014
    Inventors: Sascha Bleidistel, Ulrich Schoenhoff, Juergen Fischer
  • Patent number: 8786827
    Abstract: A method and apparatus for determining the state of the lens transmittance of an optical projection system are described. A lens or imaging objective transmission is determined as a function of exit pupil transverse direction cosine (nx,ny) at multiple field points thereby providing a more complete analysis and correction of a photolithographic exposure system. The entrance pupil of a projection imaging system is uniformly illuminated and the angular dependence of transmission through the imaging system as a function of exit pupil direction cosines is determined. The illumination source includes a light conditioner with an in-situ illumination structure (ISIS), which is an optical structure that can provide uniform illumination of the system's entrance pupil.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: July 22, 2014
    Assignee: Litel Instruments
    Inventors: Adlai H. Smith, Robert O. Hunter, Jr.
  • Patent number: 8786828
    Abstract: A lithographic apparatus includes a phase adjuster to adjust a phase of an optical wave traversing an optical element of the phase adjuster during exposure of a pattern on a substrate. In use, the pattern is illuminated with an illumination mode including an off-axis radiation beam. This beam is diffracted into a number of first-order diffracted beams, one associated with a first pitch in the pattern, along a first direction, another associated with a second pitch along a different, second direction. An area is identified where the first-order diffracted beam associated with the first pitch traverses the optical element. An image characteristic of an image of the pattern is optimized by calculating a desired optical phase of this first-order diffracted beam in relation to the optical phase of the other first-order diffracted beam. The phase adjuster is controlled to apply the desired optical phase to the first order diffracted beam.
    Type: Grant
    Filed: March 21, 2013
    Date of Patent: July 22, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Jozef Marie Finders
  • Patent number: 8773639
    Abstract: An illumination system of a microlithographic projection exposure apparatus comprises a pupil surface and an arrangement of individually drivable beam deviating elements. Each beam deviating element is configured to direct light impinging thereon onto different positions on the pupil surface in response to a control signal applied to the beam deviating element. According to the disclosure an attenuation unit is provided which is configured to reduce the intensity of light, which is directed by any arbitrary beam deviating element (onto the pupil surface, by more than 50%. This makes it possible to reduce the intensity of light in the pupil surface that has been reflected by defective beam deviating elements.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: July 8, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Markus Deguenther, Andras G. Major, Anne Christine Andresen
  • Patent number: 8767181
    Abstract: In an exposure method for exposing a substrate which is arranged in the area of an image plane of a projection objective as well as in a projection exposure system for performing that method, output radiation directed at the substrate and having an output polarization state is produced. Through variable adjustment of the output polarization state with the aid of at least one polarization manipulation device, the output polarization state can be formed to approach a nominal output polarization state. The polarization manipulation can be performed in a control loop on the basis of polarization-optical measuring data.
    Type: Grant
    Filed: November 15, 2010
    Date of Patent: July 1, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Toralf Gruner, Daniel Kraehmer, Michael Totzeck, Johannes Wangler, Markus Brotsack, Nils Dieckmann, Aksel Goehnermeier, Markus Schwab, Damian Fiolka, Markus Zenzinger
  • Patent number: 8767179
    Abstract: An imaging method in scanning photolithography includes application of different quantity first and second exposure doses of electromagnetic radiation through a reticle to a photosensitive substrate while scanning across a substrate exposure field along a direction of scan. The first dose spans entirely across the width of the exposure field during the scan and occurs all along the exposure field. The second dose covers less than the entire width of the exposure field during the scan, and is applied at a location spaced along the direction of scan from where the first dose is being applied while the first dose is being applied. Other embodiments are disclosed, including apparatus embodiments.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: July 1, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Wes McKinsey
  • Publication number: 20140176932
    Abstract: A reticle including exposure monitoring keys. The reticle includes an exposure region that is to be exposed to light during an exposure process, and a non-exposure region surrounding the exposure region and not to be exposed to the light. The exposure monitoring keys are disposed across a boundary between the exposure region and the non-exposure region.
    Type: Application
    Filed: April 3, 2013
    Publication date: June 26, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventor: Young-Sik An
  • Patent number: 8760628
    Abstract: A filter reflects first light having a first wavelength, and transmits second light having a second wavelength shorter than the first wavelength. The filter includes a plurality of plate members positioned parallel to each other with gaps therebetween in a first direction. An enveloping surface formed by end surfaces of the plurality of plate members forms a flat surface, which is nonparallel to the first direction. The filter transmits the second light to the second direction.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: June 24, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Naoya Iizuka, Fumitaro Masaki, Akira Miyake
  • Patent number: 8760627
    Abstract: A lithographic apparatus includes: a light-shielding plate which includes, on an edge thereof, an arc overlapping with a circular boundary line that defines a region onto which the pattern is transferred and is located inside an outer periphery of a substrate, and blocks the light to prevent the light from being incident on an outer peripheral region located outside the circular boundary line; a first driving unit which rotates the light-shielding plate about an axis parallel to an optical axis of the irradiation system; and a second driving unit which linearly drives the light-shielding plate within a plane perpendicular to the optical axis.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: June 24, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kenichiro Mori
  • Patent number: 8755031
    Abstract: An illumination system of a microlithographic projection exposure apparatus includes an optical raster element configured to produce a plurality of secondary light sources located in a system pupil surface. The optical raster element has a plurality of light entrance facets, each being associated with one of the secondary light sources. A beam deflecting device includes a beam deflection array of reflective or transparent beam deflecting elements, each being configured to illuminate a spot on one of the light entrance facets at a position that is variable by changing a deflection angle produced by the beam deflecting element. A control unit is configured to control the beam deflection elements such that variable light patterns assembled from the spots can be formed on at least one of the plurality of light entrance facets.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: June 17, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Markus Deguenther
  • Publication number: 20140160456
    Abstract: An optical module includes an aperture device and a support structure supporting the aperture device. The aperture device defines an aperture edge and an aperture plane. The aperture edge is adapted to define a geometry of a light beam passing the aperture device along an optical axis. The support structure is adapted to hold the aperture device in a defined manner when the aperture plane is inclined with respect to a horizontal plane. A temperature distribution prevails within the aperture device and at least one of the aperture device and the support structure is adapted to maintain at least one of a relative position of the aperture edge with respect to the optical axis and a geometry of the aperture edge substantially unaltered upon an introduction of a thermal energy into the aperture device, where the thermal energy being adapted to cause an alteration in the temperature distribution.
    Type: Application
    Filed: February 13, 2014
    Publication date: June 12, 2014
    Applicant: Carl Zeiss SMT GmbH
    Inventors: Hermann Bieg, Uy-Liem Nguyen
  • Patent number: 8749761
    Abstract: A device has a scale on which a grating pattern is formed, a light source to irradiate light on the scale, a wavelength plate to transform multiple diffracted lights from the light source into circular polarized light, respectively, an optical element to superposition and cause interference of the multiple diffracted lights, and a photodetector to receive the interfered light. Also, a generating unit to generate linearly polarized light by the light from the light source, so that the multiple diffracted lights input to the wavelength plate become linearly polarized light with a same mutual polarization direction.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: June 10, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Wataru Yamaguchi, Takahiro Matsumoto
  • Patent number: 8736814
    Abstract: Some embodiments include system and methods to obtain information for adjusting variations in features formed on a substrate of a semiconductor device. Such methods can include determining a first pupil in an illumination system used to form a first feature, and determining a second pupil used to form a second feature. The methods can also include determining a pupil portion belonging to only one of the pupils, and generating a modified pupil portion from the pupil portion. Information associated with the modified pupil portion can be obtained for controlling a portion of a projection lens assembly of an illumination system. Other embodiments are described.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: May 27, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Yuan He, Jianming Zhou, Scott L. Light, Anton deVilliers, Kaveri Jain, Zishu Zhang, Dan Millward
  • Patent number: 8736813
    Abstract: An exposure apparatus (10) for transferring a mask pattern (12A) from a mask (12) to first and second substrates (14A) (14B) includes an illumination system (18) that generates and simultaneously directs a first beam (32A) at the mask pattern (12A) and a second beam (32B) at the mask pattern (12A). Further, the first beam (32A) is spaced apart from the second beam (32B) at the mask pattern (12A). As provided herein, the first beam (32A) directed at the mask (12) creates a first pattern beam (34A) that is transferred to a first substrate location (33A), and the second beam (32B) directed at the mask (12) creates a second pattern beam (34B) that is transferred to a second substrate location (33B). Moreover, the first substrate location (33A) is spaced apart from the second substrate location (33B). With this design, the first pattern beam (34A) can be transferred to the first substrate (14A) and the second pattern beam (34B) can be simultaneously transferred to the second substrate (14B).
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: May 27, 2014
    Assignee: Nikon Corporation
    Inventors: Daniel Gene Smith, Eric Peter Goodwin
  • Patent number: 8730455
    Abstract: An illumination system for a microlithographic projection exposure step-and-scan apparatus has a light source, a first optical raster element and a second optical raster element. The first optical raster element extends in a first pupil plane of the illumination system and is designed such that the geometrical optical flux of the system is increased perpendicular to a scan direction of the projection exposure apparatus. The second optical raster element extends in a second pupil plane of the illumination system, which is not necessarily different from the first pupil plane, and is designed such that the geometrical optical flux of the system is increased in the scan direction and perpendicular thereto. This makes it possible to improve the irradiance uniformity in a reticle plane.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: May 20, 2014
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Damian Fiolka, Manfred Maul, Axel Scholz, Markus Deguenther, Johannes Wangler, Vladimir Davydenko
  • Patent number: 8730454
    Abstract: An EUV radiation source comprising a fuel supply configured to deliver droplets of fuel to a plasma formation location, and a collector configured to collect EUV radiation emitted by a plasma at the plasma formation location, wherein the collector has a reflective surface that is a modified ellipsoid shape, the modified ellipsoid shape providing improved intensity uniformity of collected EUV radiation in the far field compared with a perfect ellipsoid shape.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: May 20, 2014
    Assignee: ASML Netherlands B.V.
    Inventor: Michel François Hubert Klaassen
  • Patent number: 8730449
    Abstract: An optical device includes a wavelength separation filter configured to separate incident light into light having a first wavelength and light having a second wavelength, the wavelength separation filter including a blazed grating whose cross-sectional shape is a saw-tooth shape formed by one-dimensionally arranging a plurality of grating elements, wherein the blazed grating is configured to exert a first power on the light having the first wavelength, of the light having the first wavelength and the light having the second wavelength, by gradually changing angles surfaces of the plurality of grating elements make with a base plane, and to exert a second power on the light having the second wavelength, of the light having the first wavelength and the light having the second wavelength, by gradually changing lengths of the plurality of grating elements along a direction in which the plurality of grating elements are arranged.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: May 20, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Naoya Iizuka, Fumitaro Masaki, Akira Miyake