With Comparison To Master, Desired Shape, Or Reference Voltage Patents (Class 356/394)
  • Patent number: 7925072
    Abstract: Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods are provided. One method for identifying array areas in dies formed on a wafer includes comparing an array pattern in a template image acquired in one of the array areas to a search area image acquired for the wafer. The method also includes determining areas in the search area image in which a pattern is formed that substantially matches the array pattern in the template image based on results of the comparing step. In addition, the method includes identifying the array areas in the dies formed on the wafer based on results of the determining step.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: April 12, 2011
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Chien-Huei (Adam) Chen, Ajay Gupta, Richard Wallingford, Kaustubh (Kaust) Namjoshi, Mike Van Riet, Michael Cook
  • Patent number: 7916286
    Abstract: Inspection of objects such as semiconductor wafers can include comparisons of shapes between inspection and reference images. As part of the inspection process, relative values may be assigned to pixels within each image based on comparison of such pixels to neighboring pixels. For instance, the pixels may be ranked by relative brightness in each image. Alternatively, directional vectors may be defined based on slopes between pixels and their neighbors. Various comparison metrics may be utilized to determine the degree of correlation between the relative values for pixels in the inspection image and corresponding pixels in the reference image. Relative values may be combined with conventional techniques as part of an inspection process. The inspection may be performed using an optical inspection tool that uses conventional techniques to identify defect candidates, with relative value analysis performed on areas containing defect candidates to confirm or deny the existence of a defect.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: March 29, 2011
    Assignee: Applied Materials South East Asia Pte. Ltd.
    Inventors: Erez Sali, Oren Cohen
  • Patent number: 7903264
    Abstract: Wavelength dispersion of intensity of light reflected from an evaluation object is measured. A complex refractive index of a substance forming the evaluation object and the environment are prepared. Virtual component ratios comprising a mixture ratio of the substances forming the evaluation object and the environment are prepared. Reflectance wavelength dispersions to the virtual component ratios are calculated. Similar reflectance wavelength dispersions having a small difference with the measured wavelength dispersion are extracted from the reflectance wavelength dispersions. Weighted average to the virtual component ratios used for calculating the similar reflectance wavelength dispersions are calculated to obtain a component ratio of the substance forming the evaluation object and the environment so that weighting is larger when the difference is smaller. A structure of the evaluation object is determined from the calculated component ratio.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: March 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kei Hayasaki, Toru Mikami, Shinichi Ito, Yuichiro Yamazaki, Toshiya Kotani
  • Publication number: 20110043802
    Abstract: A box inspector for detecting at an inspection station an unacceptable skew in, an item missing from, and/or an unacceptable gap in a box. The box inspector has pairs of aligned emitters and receivers generating a signal when an unacceptable skew is detected, at least two item present sensors corresponding to the number of items adapted to be located in a single row within the box and generating an item absent signal when an item is missing from the box, a gap detect sensor generating an unacceptable gap signal when the gap is larger than a predetermined gap size, and a box present sensor generating a box present signal when a box arrives at the inspection station. A controller receives signals from these components and generates indications when the box is unacceptably skewed, an item is missing from the box, and/or an unacceptable gap exists in the box.
    Type: Application
    Filed: August 20, 2009
    Publication date: February 24, 2011
    Applicant: Graham Packaging Company, L.P.
    Inventors: Aaron C. Johnson, Philip Mutarelli
  • Patent number: 7884325
    Abstract: The present invention provides an electron beam measurement technique for measuring the shapes or sizes of portions of patterns on a sample, or detecting a defect or the like. An electron beam measurement apparatus has a unit for irradiating the patterns delineated on a substrate by a multi-exposure method, and classifying the patterns in an acquired image into multiple groups according to an exposure history record. The exposure history record is obtained based on brightness of the patterns and a difference between white bands of the patterns.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: February 8, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasunari Sohda, Shoji Hotta, Shinji Okazaki, Muneyuki Fukuda
  • Patent number: 7838831
    Abstract: A substrate inspection method includes forming a conductive thin film on a surface of an inspection target substrate with a pattern formed thereon, generating an electron beam and irradiating the substrate having the thin film formed thereon with the electron beam, detecting at least any of secondary electrons, reflected electrons and backscattered electrons released from the surface of the substrate and outputting signals constituting an inspection image, and selecting at least any of a material, a film thickness and a configuration for the thin film, or at least any of a material, a film thickness and a configuration for the thin film and an irradiation condition with the electron beam according to an arbitrary inspection image characteristic so that an inspection image according to an inspection purpose can be obtained.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: November 23, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ichirota Nagahama
  • Patent number: 7813537
    Abstract: Myocardial tissue tracking techniques are used to project or guide a single manually-defined set of myocardial contours through time. Displacement encoding with stimulated echoes (DENSE), harmonic phase (HARP) and speckle tracking is used to encode tissue displacement into the phase of complex MRI images, providing a time series of these images, and facilitating the non-invasive study of myocardial kinematics. Epicardial and endocardial contours need to be defined at each frame on cine DENSE images for the quantification of regional displacement and strain as a function of time. The disclosed method presents a novel and effective two dimensional semi-automated segmentation technique that uses the encoded motion to project a manually defined region of interest through time. Contours can then easily be extracted for each cardiac phase.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: October 12, 2010
    Assignees: Siemens Medical Solutions USA, Inc., University of Virginia Patent Foundation, University of Cape Town
    Inventors: Frederick H Epstein, Ernesta M Meintjes, Bruce S Spottiswoode
  • Patent number: 7793412
    Abstract: A component-embedded board fabrication method includes detecting, before the board is covered with a first insulating layer, the actual position of a first electronic component formed on a surface of the board, calculating a displacement between the design position of the first electronic component on the surface of the board and holding the displacement as first displacement data, and correcting, based on the first displacement data, design data to be used for processing the board after the board is covered with the first insulating layer.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: September 14, 2010
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Masatoshi Akagawa, Kazunari Sekigawa, Shinichi Wakabayashi
  • Patent number: 7760348
    Abstract: A particle inspection apparatus includes an irradiation unit configured to apply a light beam onto front and back surfaces of an object to be inspected, first and second detection units configured to detect scattering light from the surfaces, a calculation unit configured to conduct a particle inspection on the surfaces on the basis of outputs from the detection units, and a control unit configured to control the irradiation unit, the detection units, and the calculation unit. The irradiation unit can selectively apply the beam onto the front or back surface. The control unit causes the calculation unit to conduct the particle inspection on the inspection surface on which the light beam is selectively applied, on the basis of outputs made by the detection unit corresponding to simultaneous application and selective application of the light beam.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: July 20, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventor: Atsushi Kawahara
  • Patent number: 7756321
    Abstract: Shims used to join part assemblies are automatically designed and fabricated without the need for fitting part assemblies together in order to determine the exact dimensions of voids filled by the shims. The locations of key features on part assemblies are surveyed using a merged photogrammetry and laser tracking technique that generate the dimensions of a virtual shim. The dimensions of the virtual shim are contained in a digital file that can be used to automatically fabricate the shim using automated fabrication equipment such as a CNC machining center. The automated virtual shim design may be modified to reflect the effect of part assembly fit on performance characteristics of the aircraft.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: July 13, 2010
    Assignee: The Boeing Company
    Inventors: Bobby J. Marsh, Thomas Vanderwiel, Kinson VanScotter, Michael Thompson
  • Patent number: 7756661
    Abstract: A method for measuring a dimension of a pattern formed on a semiconductor light-exposure mask includes performing a preparation arranged to form a first relationship between measured values of dimensions of opaque patterns and misalignments of detected edge positions, and a second relationship between measured values of dimensions of clear patterns and misalignments of detected edge positions, performing detection/measurement arranged to detect opposite two edge positions of a measurement target pattern, and to measure a dimension of the measurement target pattern bounded by the two edge positions and dimensions of adjacent patterns respectively adjacent to the two edge positions, and performing correction arranged to respectively correct two detected edge positions of the measurement target pattern, with reference to one or both of the first and second relationships formed in the preparation, and measured values of the dimensions obtained in the detection/measurement.
    Type: Grant
    Filed: February 1, 2007
    Date of Patent: July 13, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Yamane
  • Patent number: 7749666
    Abstract: A method of using an in-situ aerial image sensor array is disclosed to separate and remove the focal plane variations caused by the image sensor array non-flatness and/or by the exposure tool by collecting sensor image data at various nominal focal planes and by determining best focus at each sampling location by analysis of the through-focus data. In various embodiments, the method provides accurate image data at best focus anywhere in the exposure field, image data covering an exposure-dose based process window area, and a map of effective focal plane distortions. The focus map can be separated into contributions from the exposure tool and contributions due to topography of the image sensor array by suitable calibration or self-calibration procedures. The basic method enables a wide range of applications, including for example qualification testing, process monitoring, and process control by deriving optimum process corrections from analysis of the image sensor data.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: July 6, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Michael J. Gassner, Stefan Hunsche, Yu Cao, Jun Ye, Moshe E. Preil
  • Patent number: 7738103
    Abstract: In a method for determining a structure parameter of a target pattern, a first series of calibration spectra are determined from at least one reference pattern, each spectra being determined using a different known value of at least one structure parameter of the respective reference pattern. The first series of calibration spectra does not take into account parameters of an apparatus used to produce the reference pattern. A representation of each of the first series calibration spectra is stored in a central library. A second series of calibration spectra corresponding to at least one of the stored spectra for a target spectrum is determined using the parameters of the apparatus for measuring the target spectrum. A measured target spectrum is produced by directing a beam of radiation onto the target pattern. The measured target spectrum and the second series of calibration spectra are compared, where this comparison is used to derive a value for the structure parameter of the target pattern.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: June 15, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Antione Gaston Marie Kiers, Arie Jeffrey Den Boef, Hugo Augustinus Joseph Cramer
  • Patent number: 7732109
    Abstract: A method for improving critical dimension uniformity of a wafer includes exposing a plurality of mask patterns on a first plurality of substrates at predetermined locations with common splits conditions of focus and exposure dose for each of the first plurality of substrates to form a plurality of perturbed wafers; measuring a critical dimension of the plurality of mask patterns at each of the predetermined locations for each of the plurality of perturbed wafers; averaging the critical dimension measured at each of the predetermined locations over the plurality of perturbed wafers to form a perturbed critical dimension map; measuring a sidewall angle of the plurality of mask patterns; averaging the sidewall angle measured to form a perturbed sidewall angle map; and providing the perturbed critical dimension map and the perturbed sidewall angle map to an exposure tool.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: June 8, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shinn-Sheng Yu, Chih-Ming Ke, Jacky Huang, Chun-Kuang Chen, Tsai-Sheng Gau
  • Patent number: 7697130
    Abstract: A surface inspection apparatus and method increase wafer productivity, wherein to increase an efficiency of the surface inspection apparatus to detect defects during a scanning of the wafer surface, a scanning speed for a subsequent defect detection is varied according to an increase/decrease of defect density represented on a plurality of images acquired successively. When the density of defects is reduced, the scanning speed increases and a level of a skip rule increases, and when the density of defects increases, the scanning speed decreases and a level of the skip rule decreases to precisely detect defects, thereby increasing reliability, throughput, and productivity.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: April 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Seok Ko, Yu-Sin Yang, Young-Jee Yoon, Chung-Sam Jun
  • Publication number: 20100073677
    Abstract: A medical device susceptible to identification using interference patterns for performing device identification is disclosed. A source signal may be directed from an energy source towards the surface of a device for reflection therefrom. An interference pattern may be detected from the surface of the device, such as by a sensor. A determination as to whether a match exists between the representation of the interference pattern and a stored representation of an interference pattern may be performed. If a match exists, the device may be identified based on the stored representation of the interference pattern. Otherwise, a representation of the interference pattern may be stored and a unique identifier may be assigned to the stored representation of the interference pattern.
    Type: Application
    Filed: November 25, 2009
    Publication date: March 25, 2010
    Applicant: NeuroPace, Inc.
    Inventor: Benjamin D. Pless
  • Patent number: 7684056
    Abstract: A light detecting method and apparatus wherein non-projection imaging operation of a predetermined range is carried out once within a non-projection imaging operation time thereby to obtain background information. During a remaining time after the non-projection imaging operation, light is radiated. At least two imaging operations of a predetermined range are performed thereby to acquire projection information. Information on the radiated light is extracted based on the background information and the projection information. Accordingly, the dead time that may occur before extraction of reflected light can be suppressed.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: March 23, 2010
    Assignee: Nissan Motor Co., Ltd.
    Inventor: Shogo Kitano
  • Patent number: 7679736
    Abstract: A pellicle correction factor is determined by comparing a first measurement of a reference photomask alone with a second measurement of that reference photomask through a reference pellicle protecting the mask layers of the photomask. A number of pellicle correction factors may be determined for different type pellicles and made accessible in pellicle correction factor lookup table of the system or supplied on a separate data storage medium. Raw Reflectance and/or Transmittance measurement data of a generic photomask through a generic pellicle is consecutively corrected for the measurement distorting effects of that pellicle by applying a matching one of the previously determined pellicle correction factors. The pellicle correction factor is preferably an attenuation signature across a predetermined measurement irradiation spectrum.
    Type: Grant
    Filed: July 26, 2008
    Date of Patent: March 16, 2010
    Assignee: n&k Technology, Inc.
    Inventors: Marc T. Aho, Thaddeus J. Wilson, Jeff Roberts
  • Patent number: 7664608
    Abstract: A pattern inspection apparatus which compares images of regions, corresponding to each other, of patterns that are formed so as to be identical and judges that non-coincident portions in the images are defects. The pattern inspection apparatus is equipped with an image comparing section which plots individual pixels of an inspection subject image in a feature space and detects excessively deviated points in the feature space as defects. Defects can be detected correctly even when the same patterns in images have a brightness difference due to a difference in the thickness of a film formed on a wafer.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: February 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuta Urano, Akira Hamamatsu, Shunji Maeda, Kaoru Sakai
  • Patent number: 7659997
    Abstract: Linear sensors are provided in two rows along a moving route of a moving body. A relative position of a magnet provided in the moving body relative to the linear sensor is determined, and an origin coordinate of the linear sensor is added to the determined relative position to determine an absolute position of the moving body.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: February 9, 2010
    Assignee: Murata Machinery, Ltd.
    Inventor: Kikuo Hori
  • Publication number: 20100002232
    Abstract: An inspection method for a circuit substrate is disclosed, which inspects electrical properties of a circuit substrate having a multilayered structure, by controlling inspection environments so that dew forms on a surface of the circuit substrate and detecting change of states of the dew to thereby determining variation of a thermal capacity of a conductor with respect to defective contacts or vias, micro vias and a circuit pattern of an inner layer. According to this, the inspection can be performed with respect to a wide area simultaneously and therefore the inspection productivity can be improved. In addition, since the temperature of the conductive wire can be measured directly through change of the dew, the cost for the temperature measurement can be saved. Moreover, the cost for an area sensor to sense the temperature of a wide area may be reduced while improving the inspection speed.
    Type: Application
    Filed: July 2, 2009
    Publication date: January 7, 2010
    Applicant: MICROINSPECTION, INC.
    Inventors: Tak Eun, Seong Jin Kim, Dong Jun Lee
  • Publication number: 20090310136
    Abstract: Disclosed are methods and apparatus for inspecting a sub-resolution assist features (SRAF) on a reticle. A test flux measurement for a boundary area that encompasses a width and a length portion of a test SRAF is determined, and at least one reference flux measurement for one or more boundary areas of one or more reference SRAF's is determined. The test flux measurement is compared with the reference flux measurements. The comparison is used to then determine whether the test SRAF is undersized or oversized.
    Type: Application
    Filed: April 21, 2009
    Publication date: December 17, 2009
    Applicant: KLA-Tencor Corporation
    Inventors: Carl E. Hess, Yalin Xiong
  • Patent number: 7630078
    Abstract: A measurement light detector detects light transmitted by a light source of an implantable system that is scattered back into an implantable housing, and produces a measurement signal indicative of the intensity of the light detected by the measurement light detector. A calibration light detector detects a portion of the transmitted light that has not exited the housing, and produces a calibration signal that is indicative of the intensity of the light detected by the calibration light detector, which is indicative of the intensity of the light transmitted by the light source. Changes in the intensity of the transmitted light are compensated for based on the calibration signal produced by the calibration light detector. This description is not intended to be a complete description of, or limit the scope of, the invention. Other features, aspects, and objects of the invention can be obtained from a review of the specification, the figures, and the claims.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: December 8, 2009
    Assignee: Pacesetter, Inc.
    Inventors: Yelena Nabutovsky, Gene A. Bornzin, Taraneh Ghaffari Farazi, John W. Poore
  • Patent number: 7626691
    Abstract: An apparatus for inspecting overlay patterns includes an optical module which projects a plurality of neighboring overlay patterns formed on a wafer. The apparatus also includes a pickup unit which obtains a plurality of image signals corresponding to the plurality of overlay patterns projected from the optical module. The apparatus also includes a comparison unit configured to generate a plurality of images including the plurality of overlay patterns from the image signals obtained at the pickup unit, compare an overlay pattern image corresponding to any one of the plurality of overlay patterns with a previously input overlay pattern image, and based on the comparison, extract at least a portion of the overlay pattern image identical or similar to the previously input overlay pattern image. The apparatus also includes a restoration unit which restores the partially extracted overlay pattern image in accordance with a predetermined overlay pattern.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: December 1, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-Hun Do
  • Patent number: 7623229
    Abstract: Systems and methods for inspecting wafers are provided. One system includes a detection subsystem configured to separately and simultaneously detect light scattered from different portions of a single spot obliquely, or normally, illuminated on a wafer and to separately generate output responsive to the separately detected light that can be used to detect defects on the wafer. The system can, therefore, effectively perform a multi-spot type of inspection of the wafer using only a single obliquely or normally illuminated spot on the wafer.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: November 24, 2009
    Assignee: KLA-Tencor Corporation
    Inventors: Mehdi Vaez-Iravani, Stephen Biellak
  • Patent number: 7620235
    Abstract: A scanning system and method for constructing a three-dimensional model of an object, the scanning system comprising: a scanning device (2) and a scanning template member (4). The scanning device (2) has an emitter (20) for projecting light and a sensor (22) for capturing images, the emitter and the sensor being, in use, in a fixed position relative to each other. The scanning to template member (4) has a known two-dimensional template (42). Processing means generates data to enable construction of a three-dimensional model of an object (8) placed between the scanning device (2) and the scanning template (42), the processing means being arranged, when in use, to generate information about the object (8) relative to the scanning template (42), this information being generated from the same image onto which the projected light is projected by the emitter. Both measurements are made relative to the same reference plane.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: November 17, 2009
    Assignee: Isis Innovation Ltd.
    Inventor: Ron Daniel
  • Patent number: 7619729
    Abstract: A method and equipment which includes an illustrated-spot illumination-distribution data table for storing an illumination distribution within an illustrated spot and which calculates a coordinate position for a particle or a defect and the diameter of the particle on the basis of detection light intensity data about the particle or defect and the illustrated-spot illumination-distribution data table. Thus, even when the illumination distribution within the illustrated spot based on an actual illumination optical system is not a Gaussian distribution, the calculation of the particle diameter of the detected particle or defect and the calculation of a coordinate position on the surface of an object to be inspected can be attained with an increased accuracy.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: November 17, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takahiro Togashi, Shigeru Matsui
  • Patent number: 7617075
    Abstract: The accuracy of a library of simulated-diffraction signals for use in optical metrology of a structure formed on a wafer is evaluated by utilizing an identity relationship inherent to simulated diffraction signals. Each simulated diffraction signal contains at least one set of four reflectivity parameters for a wavelength and/or angle of incidence. One of the four reflectivity parameters is selected. A value for the selected reflectivity parameter is determined using the identity relationship and values of the remaining three reflectivity parameters. The determined value for the selected reflectivity parameter is compared to the value in the obtained set of four reflectivity parameters to evaluate and improve the accuracy of the library. The identity relationship can also be used to reduce the data storage in a library.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: November 10, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shifang Li, Junwei Bao, Wei Liu
  • Patent number: 7599051
    Abstract: A method for calibration of a substrate inspection tool is disclosed. The tool is used to inspect a standard substrate having simulated contamination defects with known characteristics. Performance of the tool in detecting the simulated contamination defects is determined. The tool exposes the standard substrate and simulated contamination defects to radiation having a wavelength of about 260 nanometers or less. The simulated contamination defects are stable over time under exposure to radiation having a wavelength of about 260 nanometers or less.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: October 6, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Steven M. Labovitz, Weston L. Sousa
  • Patent number: 7595482
    Abstract: A standard component for length measurement includes a first diffraction grating and a second diffraction grating. Each of components of the second diffraction grating is disposed between components of the first diffraction grating.
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: September 29, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Yoshinori Nakayama
  • Patent number: 7595869
    Abstract: Provided is a method of designing an optical metrology system for measuring structures on a workpiece where the optical metrology system is configured to meet a plurality of design goals. Primary components of the optical metrology system affecting the design goals are determined and used in the initial design. The design of the optical metrology system is optimized by using collected design goal data in comparison to the set plurality of design goals. In one embodiment, the optical metrology system is used for stand alone metrology systems. In another embodiment, the optical metrology system is integrated with a fabrication cluster in semiconductor manufacturing.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: September 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga, Ching-Ling Meng, Mihail Mihaylov
  • Patent number: 7593103
    Abstract: The present invention discloses a method for measuring an intensity of a part of an electromagnetic spectral range, and an electronic device implementing the method. The method comprises the steps of providing an electronic device comprising an optical device (10) comprising at least a first liquid (A) and being placed in front of a sensor (120), the optical device (10) having a transmittance of the part of the electromagnetic spectral range depending on an orientation of at least the first liquid (A); measuring a first intensity of the electromagnetic (EM) spectral range; changing the orientation of at least the first liquid (A); measuring a second intensity of the electromagnetic spectral range; and calculating an intensity of the part of the electromagnetic spectral range from the difference between the first intensity and the second intensity. Consequently, an intensity for a part of the EM spectral range can be measured without the need for a sensor dedicated to this part of the EM spectral range.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: September 22, 2009
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Stein Kuiper, Bernardus H. W. Hendriks, Robert W. J. Zijlstra
  • Patent number: 7589845
    Abstract: Provided is system and method for controlling a fabrication cluster using at least one parameter of a structure measured with an optical metrology system designed and configured to meet one or more signal criteria. The design of the optical metrology system is optimized by using collected signal data in comparison to set one or more signal criteria. In one embodiment, the optical metrology system is used for standalone systems. In another embodiment, the optical metrology system is integrated with a fabrication cluster in semiconductor manufacturing. At least one parameter determined from a signal measured using the optical metrology system is transmitted to a fabrication cluster. The at least one parameter is used to modify at least one process variable or equipment setting of the fabrication cluster.
    Type: Grant
    Filed: March 27, 2008
    Date of Patent: September 15, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga, Ching-Ling Meng, Mihail Mihalov
  • Publication number: 20090213378
    Abstract: A method for calculating out an optimum arrangement pitch between each two LED chip package units, including: providing a backlight module with a predetermined brightness value and a predetermined material information that a customer needs; determining what brightness level and amount of LED chip package units need to be used by a designer according to the brightness value and the material information of the backlight module; and dividedly arranging the LED chip package units determined by the designer on a light-entering area of the backlight module in order to define what the optimum arrangement pitch between each two LED chip package units is.
    Type: Application
    Filed: September 30, 2008
    Publication date: August 27, 2009
    Inventors: Bily Wang, Shih-Yu Wu, Wen-Kuei Wu
  • Patent number: 7580129
    Abstract: A method for improving accuracy of optical critical dimension measurement of a substrate is provided. A process parameter that influences the refractive index and extinction coefficient of a thin film in the substrate is identified. A refractive index and extinction coefficient across a plurality of wavelengths as a function of the process parameter is identified. During the regression modeling of the optical critical dimension measurement, the refractive index and extinction coefficient across the plurality of wavelengths is adjusted through the function via the process parameter.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: August 25, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shinn-Sheng Yu, Jacky Huang, Chih-Ming Ke, Tsai-Sheng Gau
  • Patent number: 7580124
    Abstract: A method and apparatus for inspecting patterned substrates, such as photomasks, for unwanted particles and features occurring on the transmissive as well as pattern defects. A transmissive substrate is illuminated by a laser through an optical system comprised of a laser scanning system, individual transmitted and reflected light collection optics and detectors collect and generate signals representative of the light transmitted and reflected by the substrate. The defect identification of the substrate is performed using transmitted and reflected light signals from a baseline comparison between two specimens, or one specimen and a database representation, to form a calibration pixelated training set including a non-defective region. This calibration pixilated training set is compared to a transmitted-reflected plot map of the subject specimen to assess surface quality.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: August 25, 2009
    Assignee: KLA-Tencor Technologies Corp.
    Inventor: Zongqiang Yu
  • Patent number: 7561269
    Abstract: An optical measurement system and wafer processing tool for correcting systematic errors in which a first diffraction spectrum is measured from a standard substrate including a layer having a known refractive index and a known extinction coefficient by exposing the standard substrate to a spectrum of electromagnetic energy. A tool-perfect diffraction spectrum is calculated for the standard substrate. A hardware systematic error is calculated by comparing the measured diffraction spectrum to the calculated tool-perfect diffraction spectrum. A second diffraction spectrum from a workpiece is measured by exposing the workpiece to the spectrum of electromagnetic energy, and the measured second diffraction spectrum is corrected based on the calculated hardware systematic error to obtain a corrected diffraction spectrum.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: July 14, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Sanjeev Kaushal, Sairam Sankaranarayanan, Kenji Sugishima
  • Patent number: 7557920
    Abstract: A machine-vision system that provides changing and/or automatic adjustment of illumination angle, dispersion, intensity, and/or color of illumination. One such system includes a light source emitting polarized light, a machine-vision imager, an image processor operative to generate a quality parameter based on the image, and one or more of the means described above for selectively directing the light in a predetermined pattern based on its polarization and on the quality parameter of the image. Some embodiments include an imager, a controllable light source, first and second optical elements, that selectively direct light in first and second patterns, and a controller controlling the light characteristics using the first and second light patterns. One method includes setting one or more illumination parameters, illuminating the object based on the illumination parameters, obtaining an image, generating a quality parameter based on a region of interest, and iterating using different illumination parameters.
    Type: Grant
    Filed: November 25, 2006
    Date of Patent: July 7, 2009
    Inventor: Gary A. Lebens
  • Patent number: 7551767
    Abstract: A pattern inspection apparatus uses a die-to-database comparison method which compares detected pattern data obtained from an optical image of a pattern of a plate to be inspected with first reference pattern data obtained from designed pattern data in combination with a die-to-die comparison method which compares the detected pattern data with second reference pattern data obtained by detecting an area to be a basis for repetition. A computer detects presence of a plurality of repeated pattern areas from layout information contained in the designed pattern data, reads the arrangement, the number, the dimension and the repeated pitch of the repeated pattern areas, and automatically fetches an inspection area of the die-to-die comparison method.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: June 23, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Tsuchiya, Kyoji Yamashita, Toshiyuki Watanabe, Ikunao Isomura, Toru Tojo, Yasushi Sanada
  • Patent number: 7548309
    Abstract: A defect inspection apparatus according to an aspect of the present invention includes a laser source generating light beam, an objective lens focusing the light beam emitted from the laser source to form a light spot on a surface of a sample W, a prism dividing the light beam reflected from the sample into two light beams, two light receiving elements receiving the light beams divided by the prism to output output signals based on the beam amount of the received beams, and a real defect determination part determining a candidate detect as a real defect when output signals from the two light receiving elements are detected substantially at the same time.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: June 16, 2009
    Assignee: Lasertec Corporation
    Inventors: Haruhiko Kusunose, Tomoya Tamura
  • Patent number: 7542140
    Abstract: A detection apparatus includes a sample holding section, an irradiation means, a detection means, a calculation means, and an evaluation means. The irradiation means irradiates a substance held in the sample holding section with a THz wave. The detection unit detects a THz wave that has passed through or been reflected from the substance. The calculation unit determines a frequency dependence of a property of the substance with respect to the irradiated THz wave and then calculates a slope of a straight line or a slope of a straight line obtained by straight-line approximation of the frequency dependence of the property of the substance. The evaluation unit evaluates the state change of the substance by comparing a previously-obtained slope of a straight line of the frequency dependence of the property of the substance in a standard state and the slope of the straight line of the substance calculated.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: June 2, 2009
    Assignees: Canon Kabushiki Kaisha, Riken
    Inventors: Toshihiko Ouchi, Shintaro Kasai, Haruko Yoneyama, Masatsugu Yamashita
  • Patent number: 7535560
    Abstract: The invention relates to optical inspection of integrated circuit devices, such as QFP and TSOP devices. There are provided methods of inspecting objects, such as integrated circuit devices, using a single laser triangulation system oriented in a fixed direction, where the given inspection system rotates the inspection tray for scanning the objects placed therein in different directions.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: May 19, 2009
    Assignee: Aceris 3D Inspection Inc.
    Inventor: Bojko Vodanovic
  • Patent number: 7532328
    Abstract: The disclosed subject matter is related to a circuit pattern inspection apparatus for detecting a gradual changing of defect expanding over a large area of the semiconductor wafer. In order to detect a gradual changing of a defect related condition expanding over a large area of the semiconductor wafer, comparison is made between dies on a wafer that are separated from each other by a distance of at least one die width. For example, when a value according to a difference between such dies exceeds a pre-determined value, an existence of the gradual changing can be confirmed.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: May 12, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasuhiko Nara, Masaaki Nojiri, Kouichi Hayakawa, Takashi Hiroi
  • Patent number: 7525658
    Abstract: A system provides a correlation between a field-tested measured light transmission, light reflection and/or light absorption in at least one transparent, translucent or semi-opaque medium to a pre-set measured light transmission.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: April 28, 2009
    Assignee: Electronic Design To Market, Inc.
    Inventors: Jeffrey A. Simpson, Mark A. Imbrock, Nathan Strimpel
  • Publication number: 20090098472
    Abstract: In a pattern evaluation method of determining whether a pattern formed on a photomask is acceptable, an aberration parameter of an image quality evaluation apparatus for determining a pattern image intensity in transferring a pattern formed on a photomask onto a wafer is acquired. An acceptance criterion value used in determining whether an abnormal pattern of the photomask including the effect of aberration of the image quality evaluation apparatus is acceptable is set through a lithographic simulation using the acquired aberration parameter. Then, using the image quality evaluation apparatus, an image intensity of the abnormal pattern of the photomask and an image intensity of a normal pattern corresponding to the abnormal pattern are obtained. It is determined whether the difference between the two acquired image intensities is within the set acceptance criterion value.
    Type: Application
    Filed: October 8, 2008
    Publication date: April 16, 2009
    Inventors: Keiko MORISHITA, Shingo Kanamitsu
  • Patent number: 7518717
    Abstract: A scanning type exposure apparatus includes a projection optical system which projects a pattern of a reticle onto a wafer, which is held by a wafer chuck, a scanning stage system which scanningly moves the reticle and the wafer synchronously with respect the projection optical system, and an inspection system which automatically inspects influence of particles on at least one of the wafer and on the wafer chuck. The inspection system includes a focus detector which measures a focus state of the wafer and a calculator which calculates outputs of the focus detector.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hiroaki Takeishi
  • Publication number: 20090073445
    Abstract: A bonding agent sticking inspection apparatus includes a photographing section, a movement section, and a control section. The photographing section photographs an image of a substrate. The image includes a sticking expected range indicating a range in which a bonding agent should be positioned. The control section controls the photographing section and the movement section, sets an inspection region having a width equal to the pitch between electrodes in an entire edge part in a direction in which the electrodes are arranged in a peripheral edge part of the sticking expected range in the image, detects a ratio of a nicked part of the bonding agent to the inspection region, and judges whether or not an abnormality is present in the bonding agent on the basis of a comparison between the ratio of the nicked part and a threshold set in advance.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 19, 2009
    Inventor: Daisuke KOBAYASHI
  • Patent number: 7476856
    Abstract: A method and apparatus for efficiently executing two types of measurements with an optical measuring device and a scanning electron microscope are provided. For example, the method and apparatus may execute the following steps: calculating an average of the dimensional values of a plurality of scanned feature objects; and calculating an offset of a dimensional value on the basis of a difference between the calculated average value and the dimensional value of the feature object obtained when the light is irradiated. The offset between measurement values between the optical measuring device and the scanning electron microscope can be determined precisely.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: January 13, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Watanabe, Tadashi Otaka, Ryo Nakagaki, Chie Shishido, Masakazu Takahashi, Yuya Toyoshima
  • Patent number: 7440093
    Abstract: Disclosed are techniques and apparatus for accounting for differing levels of defect susceptibility in different pattern areas of a reticle in an inspection of such reticle or in inspection of a semiconductor device fabricated from such reticle. In general terms, two or more areas of a reticle are analyzed to quantify each area's susceptibility to defects on the final semiconductor product. That is, each reticle area is analyzed and given a quantified defect susceptibility value, such as a MEEF (mask error enhancement factor) value. Such analysis includes analysis of an image that is estimated to result from the lithography tool which is to be utilized to expose semiconductor devices with the reticle. The defect susceptibility value generally depends on the reticle area's density and whether the correspond area of the estimated lithography image has intensity values which are proximate to an exposure threshold for a particular resist material to be used on the final semiconductor device.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: October 21, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Yalin Xiong, Zain K. Saidin, Sterling G. Watson
  • Patent number: 7433032
    Abstract: In a method of inspecting defects, a first actual region of an actual object is inspected based on a first characteristic parameter as an inspection condition. A point where an inspection region of the actual object is changed into a second actual region from the first actual region is determined. The second actual region is then inspected based on a second characteristic parameter as the inspection condition. The first and second parameters may include contrast of a light that is reflected from a reference object, intensity of the light, brightness of the light, a size of a minute structure on the reference object, etc. The characteristic parameters of each reference region on the reference object are set. Thus, the defects may be accurately classified so that a time and a cost for reviewing the defects may be markedly reduced.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: October 7, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joung-Soo Kim, Sang-Mun Chon, Chung-Sam Jun, Yu-Sin Yang